1 Introduction

For decades the semiconductor community has focused on silicon (Si) with germanium (Ge) being relatively neglected. Over the past decade Ge is being more actively considered as a candidate material for the new generation of microelectronic devices because of its superior properties (carrier mobilities, low dopant activation temperatures and smaller band-gap) [1, 2]. A main reason for the prevalence of Si over Ge was the better quality of the native oxide of Si compared to the poor quality of the Ge native oxide [1]. Developments in high-k gate dielectric materials have practically eliminated this advantage of Si and have acted catalytically for the reconsideration of Ge [312]. Although the dopant-defect processes in Si have been extensively investigated for decades [1322] for Ge (and its alloys) there are fewer studies published mainly in the past few years [2335].

The importance of density functional theory (DFT) in the investigation of defect process in Ge is becoming more apparent as the reduction of the characteristic dimensions of devices approaches a few nanometers. To ensure the absolute control on the placement of dopants in the Ge substrate, which is a requirement for numerous applications (since device properties are influenced by dopants and their distribution) one needs to understand the diffusion and interaction of dopants with native point defects at an atomic scale.

The main aim of the present review is to illustrate how DFT calculations can aid the understanding of defect processes and the design of defect engineering strategies to control the properties of dopants in Ge.

2 Intrinsic defects and diffusion

Intrinsic defects in Ge have been studied for a number of years [3642], with the importance of vacancies in the self-diffusion of Ge being established by Werner et al. [36]. Previous studies have determined that the vacancy formation energy in Ge is significantly smaller compared to Si [43, 44]. This in turn can influence the defect creation and removal of the implantation damage processes [45] and dopant diffusion. This is reflected in dopants diffusion investigations (see what follows), which indicate that vacancy-mediated diffusion mechanisms (ring mechanism of diffusion, refer to Fig. 1 and [46]) are prevalent in Ge.

Fig. 1
figure 1

A schematic representation of the diffusion of P via the ring-mechanism of diffusion in the Ge lattice. In the first step the V exchanges position with the P atom, whereas then it progresses through the ring approaching the P atom through another direction

3 p-type dopants

The main acceptor atoms or p-type dopants that can be utilized in Ge-technology are boron (B), aluminium (Al), gallium (Ga) and indium (In) [4762]. The formation of p-type regions is not problematic and there is no need for the use of defect engineering strategies. Nevertheless, for completeness the main studies will be highlighted. Importantly, for B high concentrations (about 1.5 × 1020 cm−3) can be activated with the use of rapid thermal annealing [49]. Both experimental and DFT studies are in agreement that the diffusivity of B in Ge is very low [48, 49, 51, 55]. Indium is also a slow diffusing species in Ge with its activation energy of diffusion (3.51–3.63 eV) being higher than the Ge self-diffusion (3.13 eV) [53, 54]. Another interesting p-type dopant in Ge is Ga. Ga has a high activation level (about 4.4 × 1020 cm−3) combined with a low activation temperature of about 400 °C [57]. Experimental diffusion studies determined that Ga has high activation energy of diffusion 3.31 eV [58].

4 n-type dopants

The main donor (D) atoms or n-type dopants are phosphorous (P), arsenic (As) and antimony (Sb). Both experimental and theoretical studies are in agreement that donor atoms (such as P, As and Sb) diffuse in Ge via vacancy-mediated mechanisms [6365]. Experimental studies determined that the diffusion of n-type dopants in Ge increases with the square of the free electron concentration [63]. This dependence is described by considering negatively charged (DV) pairs formed via the reaction.

$$ \left( {DV} \right)^{ - } \leftrightarrow D_{s}^{ + } + V^{2 - } $$
(1)

where V 2 describes the doubly negatively charged V and \( D_{s}^{ + } \) the singly positively charged n-type dopant. Importantly, there is agreement between the experimental [63, 66] and theoretical results [65] that the activation energy of diffusion decreases with the increase of the donor atom size (i.e. Sb is fastest and P is slowest, refer to Table 1).

Table 1 Calculated (DFT/GGA+U) binding and activation energies of diffusion for the singly negatively charged donor-V pairs [65]

5 Codoping strategies

It becomes apparent that Ge vacancies have a deleterious impact for the realization of high performance Ge based metal-oxide-semiconductor field-effect transistors (MOSFETs) or related devices [6769]. The introduction of high concentrations of vacancies occurs via ion implantation, a process that is used during MOSFET or other device fabrication. In the channel region vacancies act as scattering centers and can degrade the carrier mobility, whereas in the source and drain junctions’ vacancies can act as recombination sites increasing the substrate leakage. Additionally, considering the n-type doped regions vacancies enhance donor atom diffusion and may cause deactivation of the dopants effectively reducing the carrier concentration as compared to the chemical concentration [66, 70, 71]. For example, in heavily arsenic-doped Ge it is calculated by DFT that a significant proportion of the donor atoms will be trapped in Asn V m clusters that do have a deleterious impact (refer to Fig. 2) [70]. For Ge-based nanodevices the enhanced diffusion of n-type dopants under electronically extrinsic conditions and the deactivation of donors will be a significant barrier for the formation of appropriate ultra-shallow junctions. The key is therefore to reduce the concentration of vacancies as they are the vehicle mediating diffusion and the key ingredient of the clusters related to deactivation. In what follows we consider three different codoping strategies aiming to contain the vacancy concentration.

Fig. 2
figure 2

The temperature of the concentration of unbound As atoms and As trapped in the deactivating Asn V m clusters. A significant proportion of the As concentration is trapped in the As4 V cluster [70]

5.1 Double donor doping

The defect processes of dopants in group IV semiconductors can be influenced apart from the intrinsic point defects (vacancies or self-interstitials Gei) by codopants. A DFT study on P and As codoping indicated that double-donor doping maybe an effective way to engineer the active donor concentrations [72]. This study effectively calculated the binding energies of a range of PnAsm V x clusters. Binding energies are defined here as the difference in energy between the cluster and its constituent point defects being isolated. Using the DFT derived binding energies of the PnAsm V x clusters one can calculate the relative concentrations of the PnAsm V x clusters over a temperature range with the application of mass action analysis [73]. The concentration of the PnAsm V x cluster, [PnAsm V x], relative to the concentration of unbound P and As atoms (that is [P] and [As]), and the concentration of unbound vacancies (that is [V], vacancies that are not associated in clusters)) is given by.

$$ \frac{{\left[ {P_{n} As_{m} V_{x} } \right]}}{{\left[ P \right]^{n} \left[ {As} \right]^{m} \left[ V \right]^{x} }} = \exp \left( {\frac{{ - E_{b} (P_{n} As_{m} V)}}{{k_{B} T}}} \right) $$
(2)

where k B is Boltzmann’s constant and T is temperature.

Using this DFT/mass action approach it is calculated that the unbound [V] increases linearly with the proportion of P in the initial donor concentration for a wide range of temperatures [72]. It is anticipated that by tuning the relative concentration of the P and As, it is possible to engineer a target unbound V or active donor concentration [72].

This DFT and mass action analysis approach inspired experimental double donor doping (again P and As) experiments [74]. The study of Tsouroutas et al. [74] concluded that there is a retardation of the As diffusion, however, the activation level of codoped Ge is lower as compared to the singly-doped Ge for most annealing conditions considered. The two studies [72, 74] may be reconciled considering that the mass action framework can calculate the equilibrium behaviour of defects (and their clusters) toward which the system will tend to evolve; however, in experiments kinetics may hinder the system to reach the equilibrium state.

In a recent experimental study Kim et al. [75] tried to improve Ge n+/p junction diode characteristics by using P and Sb codoping. They determined that P and Sb codoping does have a beneficial impact upon the n-type dopant activation. This enhancement in activation leads to an increased forward biased current density in shallow junction diodes. They also observed that codoping reduces the residual implantation damage when followed by rapid thermal annealing and this in turn reduces the reverse leakage in diodes formed by deep implantation [75]. In essence they highlight the role of Sb to the annealing of the implantation damage. The mechanism for the enhanced activation in P and Sb codoped Ge is not clear. Kim et al. [75] suggest it might be linked to local strain compensation as P is smaller to Ge and Sb is larger. If this is the case the relative difference is atomic size between As and Sb could provide an explanation why there are differences between the studies of Tsouroutas et al. [74] and Kim et al. [75].

5.2 Isovalent atom codoping

Isovalent codopants to Ge can be either smaller (for example C or Si) or larger (for example Sn of Hf). The first DFT studies considered the interaction of C with V and donor (P or As) atoms [52, 76]. It was calculated that although the CV pair is bound by only −0.07 eV larger Cn V m and CVD clusters had more substantial binding energies [52, 76, 77]. Systematic experimental and DFT studies concluded that codoping donor atoms (D = P, As, Sb) with C retards the diffusion of the donor atoms [63, 64]. Nevertheless, the deactivation problem remained and this may be due to the formation of Cn V mDx clusters [63, 64].

Larger codopants in group IV semiconductors can significantly influence the defect processes. For example, it is established that Sn and Pb doping in Si can significantly affect the energetics and diffusion properties of VO pairs (known as A-centers) or larger related clusters [78, 79]. What is less clear is how large isovalent codopants such as Sn or Hf can influence the diffusion of donor atoms in Ge. Initially, DFT work examined in detail Sn diffusion in Ge [80]. This indicated that there is a strong dependence of the activation energy of diffusion (2.48–3.65 eV) upon the Fermi energy, with the results being in agreement with the available experimental evidence (refer to [80] and references therein).

In the subsequent study Tahini et al. [81] investigated the impact of Sn or Hf codoping on the diffusion of P in Ge [81]. It was calculated that codoping with Hf (and to a lesser degree Sn, note Hf is larger than Sn) leads to a significant increase of the migration energy barriers of P through the vacancy-mediated diffusion mechanism. The idealized mechanism of diffusion is illustrated in Fig. 3. This ring-mechanism although similar to the ring mechanism of Fig. 1 differs as the V has to exchange position not only with the Ge or P atoms but also with the oversized dopant (Fig. 2). Tahini et al. [81] calculated that singly negatively charged P-isovalent-V clusters, i.e. (PSnV)−1 and (PHfV)−1 form by the capture of PV pairs by the Sn or Hf atom respectively. In essence codoping with oversized dopants is an efficient defect engineering strategy to limit P diffusion in Ge. A point that needs to be considered though is that isovalent dopants will have to be introduced at concentrations that are higher to their solubility limits. This will probably lead to ion implantation as high concentrations will be required to limit P diffusion. It may be anticipated that the damage introduced by the implantation can lead to high concentration of vacancies and self-interstitials. These Frenkel pairs may (a) annihilate themselves or (b) as they will diffuse with different rates be problematic. Additionally, although codoping with large isovalent atoms will limit the diffusion of donor atoms it is not yet established that it will not lead to deactivation. The conditions that isovalent doping in Ge is beneficial need to be quantified by detailed experimental studies.

Fig. 3
figure 3

A schematic representation of the diffusion of P via the ring-mechanism in the presence of a dopant atom in the Ge lattice [79]

5.3 Fluorine codoping

Fluorine (F), one of the most electronegative materials, was previously incorporated in Si to saturate the dangling bonds of vacancies resulting in the formation of Fn V m clusters and effectively suppress the transient enhanced diffusion of B [8290]. These studies in Si although they targeted the control of B inspired the first DFT study to consider F and donor atom (P or As) codoping in Ge [91]. In this DFT study the binding energies of a range of Fn V m and Fn V mPx (or Fn V mAsx) clusters were calculated and input in a mass action analysis model [91]. It was calculated that F codoping with P will effectively restrain the vacancy concentration via the formation of Fn V m clusters. The formation of Pn V m (or Fn V mAsx) clusters was deemed to be practically insignificant on concentration grounds [91]. The driving force for the formation of F-V related clusters such as Fn V m, Fn V mPx (or Fn V mAsx) is the saturation by F atoms of the dangling bonds created by the lattice vacancies. The optimum clusters in terms of binding energy are the clusters for which all the dangling bonds are saturated by F atoms (i.e., F4 V or F6 V 2). These findings are consistent with the DFT calculations of Diebel and Dunham [84] in Si. What mass action analysis revealed is that the most bound clusters are not necessarily the most significant from a concentration perspective (Fig. 4). In particular, clusters such as FV, F2 V 2, or F3 V 2 can have considerable concentrations especially at high temperatures (Fig. 4).

Fig. 4
figure 4

A schematic representation of the temperature dependence of the concentration of fluorine-V clusters for an initial V concentration of 1018 cm−3 and F concentrations of (a) 1018 cm−3 and (b) 1018 cm−3 [91]

A subsequent experimental investigation on As and F codoping was in agreement with the DFT results that there is a beneficial impact by the inclusion of F [2, 91]. It was determined by Impellizzeri et al. [2] that the implantation of F will create a supersaturation of V. This will in turn increase the diffusion of As (as it is V-mediated) in the layer that is amorphised by the implantation of F and As and which is regrown by solid phase epitaxy [2]. Close to the end of range (EOR) damage region clusters form between F atoms and Ge interstitials [2]. The binding energy of a FGei pair was calculated to be −0.60 eV [2]. Although the binding energy of the FGei pair is only a fraction of the binding energies of typical Fn V m clusters they can be important next to the EOR region where there is a supersaturation of Gei [2, 91]. The FGei clusters will act as sinks of vacancies suppresing the As diffusion. Importantly, this process does not lead to the formation of deactivating clusters but allows for the control of diffusion of n-type dopants during Ge-device processing [2].

The recent experimental study (spreading resistance profiling) of Jung et al. [68] provided evidence that vacancies in Ge are acceptors and anneal out at around 600 °C. Through the introduction of F vacancies can be passivated at around 500 °C. Implanted F is determined to lead to the passivation of vacancies in Ge resulting to a potential enhancement of the performance in Ge-MOSFETs. In essence the study of Jung et al. [68] is in agreement with the previous DFT study by Chroneos et al. [91] and paves the way for the implementation of F as a way to annihilate vacancies and improve the properties of Ge-devices.

6 Future directions

The recent experimental and DFT investigations are in excellent agreement for issues such as the diffusion of n-type dopants. DFT calculations are able to capture the important trends in dopant-defect interactions. For example, with increasing donor atom size the activation enthalpy of diffusion decreases [55, 66]. They can also offer complementary proof on the charge states of point defects [65, 80] and defect pairs and can be employed to characterize technologically important clusters [70].

Vacancies are most populous in Ge as compared to Si and have a deleterious impact upon the properties of devices such as the Ge-MOSFET. DFT calculations can accelerate the investigation of dopant-defect processes and provide solutions to improve the performance of Ge-based devices. In particular using DFT in conjunction with experiment is a powerful way to accelerate progress in the design of appropriate n-type doped regions. The introduction of strain can result in a beneficial increase of the mobilities of holes and electrons [9294]. Regarding Ge-technology, there are recent reports suggesting the fabrication of strained-Ge (sGe) CMOS with germanium-tin (Ge1−xSnx) alloys as stressors [9597]. DFT studies can provide technologically important information on the electronic structure and defect processes of Ge under strain [98].

Future studies can explore the tuning of the concentration of germanium interstitials as a way to suppress vacancies as these ideas are gaining ground in recent experimental work [99]. The defect engineering strategies considered here may be transferable to other related materials such as Ge-containing group IV alloys, which represent a very active research field. For example, investigations on tin-Germanium (Ge1−xSnx) alloys are motivated by their advantageous optical properties, as they possess a direct band gap that can be tuned by controlling the Sn content [100, 101]. Furthermore Ge1−xSnx alloys can offer a range of strains that enable them to be incorporated as buffer layers to lattice match a range of substrates from Si or Ge to III-V and II-VI compounds [102, 103]. There are presently only a few DFT studies on Ge1−xSnx alloys.

Finally, as the dimensions of devices are continuously scaled the surfaces and interfaces have an ever increasingly important role. Research and development of appropriate gate dielectric stacks for an all-Ge advanced CMOS are intensive. It is a challenge to combine good surface passivation, high channel mobilities and low equivalent oxide thickness. Research efforts focus on the improvement of n-type MOSFETs and in particular the control of the quality of the interface between Ge and the gate dielectric. In that respect DFT studies can synergistically act with experiment to contribute towards the understanding and optimization of the interface. A recent example is the good agreement between the DFT study of Golias et al. [104] with experimental studies [105, 106] indicating that the introduction of trivalent dopants can assist the control of the oxygen vacancies will positively impact the electrical properties (by the reduction of the dangling bonds) of GeO2. A schematic representation of this concept known as “Valency passivation” is given in Fig. 5. This dialog between experiment and DFT is in essence paving the way to realize good MOS devices based on Ge. It is foreseen that multiscale modelling techniques will be able to provide insights in issues such as pressure, strain and oxide/hydroxide formation [107109] in semiconductors in a more universal way; however, these have yet to be realized in these systems.

Fig. 5
figure 5

A schematic representation of the Valency passivation concept that is an efficient way to use trivalent dopants to annihilate vacancy defects in GeO2 [104]