Keywords

These keywords were added by machine and not by the authors. This process is experimental and the keywords may be updated as the learning algorithm improves.

1 Introduction

The increasing integration density in modern electromechanical systems requires considering electromagnetic-compatibility (EMC) issues at an early design stage in order to avoid costly changes later on. Historically, the electronic behavior of the system is modeled using a purely functional electronic circuit. Due to undesired parasitic effects, the physical realization behaves differently than the idealized model. In [1, 2], a method was proposed for automating the extraction of lumped elements between a given set of terminals from a 3D finite-element (FE) model. This approach is comparable to the more common model order reduction (MOR) [3] and partial element equivalent circuit (PEEC) [4, 5] techniques but has as a benefit that it preserves interpretability, as the reduced model still embeds the functional aspects of the circuit and merely adds additional lumped elements accounting for parasitics. This enables engineers to use their intuition in designing mitigation strategies.

The sensitivity of the EMC performance on the circuit parameters is easily calculated. Once this is known, the question arises how to adapt the physical realization model to improve the EMC. To guide this adaptation, we propose a method that visualizes all parasitic dependencies and thus allows to make informed decisions on geometry modifications.

Section 4.2 first recapitulates the circuit parameter extraction approach. This is followed by the derivation of the sensitivity analysis using an adjoint technique [6]. It will be discussed how changes in geometry or material parameters relate to changes in the extracted circuit parameters, and it is shown how sensitivity maps are generated. Academic and industrial example applications are presented in Sect. 4.3.

2 Extraction and Sensitivity Analysis of Circuit Parameters

A robust extraction of an equivalent electric circuit (EEC) is achieved if ohmic losses are extracted in the stationary-current approximation to Maxwell’s equations, static capacitances in the electrostatic approximation [7] and inductances and coupling factors in Darwin’s approximation [8]. This section briefly recapitulates these three extraction approaches and then derives the sensitivity analysis for each of them. The sensitivity analysis is achieved by computing the derivatives of the lumped element parameters in the EEC to all model geometry and material parameters. The results are presented in the form of sensitivity maps. The adjoint variable method [6] allows for an efficient computation of the derivative of an extracted circuit parameter with respect to all model parameters.

2.1 Partial Inductances

Darwin’s approximation is used to extract partial inductances and equivalent partial capacitances as it describes capacitive as well as inductive behavior [9]. It provides an approximation to Maxwell’s equations that excludes wave propagation phenomena and naturally confirms to a network description [7].

The formulation reads

$$\displaystyle \begin{aligned} \left(\mathbf{S} + s^2 \mathbf{T}\right)\mathbf{x} = \mathbf{y}\quad , \end{aligned} $$
(4.1)

where

$$\displaystyle \begin{aligned} \mathbf{S} & = \begin{pmatrix} \varepsilon \boldsymbol{\triangle} & 0\\ 0 & 0 \end{pmatrix}\,; & \mathbf{T} & = \begin{pmatrix} -\varepsilon^{2}\mu & \varepsilon\boldsymbol{\nabla}\cdot\\ \varepsilon\boldsymbol{\nabla} & -\boldsymbol{\nabla}\times\mu^{-1}\boldsymbol{\nabla}\times \end{pmatrix}\,; & \mathbf{x} & = \begin{pmatrix} s^{2}\varphi\\ \mathbf{E}_{\boldsymbol{\sigma}} \end{pmatrix}\,; & \mathbf{y} &= s^{3} \begin{pmatrix} \varepsilon g\\ -\boldsymbol{\nabla}\mu^{-1}g \end{pmatrix}\quad , \end{aligned} $$

ε is the permittivity, μ the permeability, s =  the Laplace variable, ω the angular frequency, φ the electric scalar potential, E σ the electric field strength related to currents within the model and g an auxiliary field calculated a-priori by solving a magnetostatic problem for the external currents. A derivation and more detailed discussion of this formulation can be found in [10] and [2]. The formulation is discretized by the FE method. For conciseness, the discrete counterpart of (4.1) keeps the same notation. Hence, x now collects the degrees of freedom (DOFs) for s 2 φ and E σ .

Once the field solution x to (4.1) is known for as many orthogonal excitations as there are partial inductances (collected by the extended current matrix I), the impedance matrix Z is calculated by:

$$\displaystyle \begin{aligned} \mathbf{Z}(s)=s^{-2}\left(\mathbf{P}\mathbf{x}\right) \mathbf{I}^{-1}\quad . \end{aligned} $$
(4.2)

The projection operator P links the electrical scalar potential φ at the FE DOFs to the potentials at the vertices in the EEC. The network equivalent for the impedance matrix Z is given by a parallel connection of a capacitance and an inductance:

$$\displaystyle \begin{aligned} \mathbf{Z}(s) = ((s\mathbf{L})^{-1}+s\mathbf{C})^{-1}\quad . \end{aligned} $$
(4.3)

By computing the impedance matrices at multiple frequencies well below the first resonance of the system, a least squares fit leads to the inductance.

In order to calculate the sensitivities of the inductances, we first have to calculate the change of the impedance Z with regards to a model parameter p i :

$$\displaystyle \begin{aligned} \frac{\mathrm{d} \mathbf{Z}}{\mathrm{d} p_i}=\left(\frac{\partial \mathbf{Z}}{\partial\mathbf{x}}\right)^T \frac{\mathrm{d}\mathbf{x}}{\mathrm{d} p_i}\quad . \end{aligned} $$
(4.4)

The second factor is the change of the solution vector x by the model parameter p i and can be obtained using Eq. (4.1):

$$\displaystyle \begin{aligned} \frac{\mathrm{d} \mathbf{x}}{\mathrm{d} p_i} = \left(\mathbf{S} + s^{2} \mathbf{T}\right)^{-1} \left[\frac{\mathrm{d} \mathbf{y}}{\mathrm{d} p_i} - \left( \frac{\mathrm{d} \mathbf{S}}{\mathrm{d} p_i} + s^2 \frac{\mathrm{d} \mathbf{T}}{\mathrm{d} p_i} \right) \mathbf{x}\right]\quad . \end{aligned} $$
(4.5)

We use the adjoint technique, which requires the so-called adjoint solution λ which needs to be computed only once for each EEC parameter according to

$$\displaystyle \begin{aligned} \left(\mathbf{S} + s^2 \mathbf{T}\right)^{T}\lambda = \frac{\partial \mathbf{Z}}{\partial \mathbf{x}}\quad . \end{aligned} $$
(4.6)

The sensitivities are then

$$\displaystyle \begin{aligned} \frac{\mathrm{d}\mathbf{Z}}{\mathrm{d}p_i}=\lambda^{T} \left[\frac{\mathrm{d} \mathbf{y}}{\mathrm{d} p_i} - \left( \frac{\mathrm{d} \mathbf{S}}{\mathrm{d} p_i} + s^2 \frac{\mathrm{d} \mathbf{T}}{\mathrm{d} p_i} \right) \mathbf{x}\right] \,. \end{aligned} $$
(4.7)

The adjoint technique avoids the costly matrix inversion in Eq. (4.5). The matrices dS/dp i and dT/dp i have to be computed for every parameter but are very sparse and can thus be assembled efficiently. This overall method is therefore a fast and efficient way to compute the sensitivities of a few quantities with respect to a much larger number of 3D model parameters.

2.2 Capacitances and Conductances

The extraction of capacitances C and conductances G from the field model is accomplished by matching the electric energy W EEC = W 3D and the power loss P EEC = P 3D between circuit and field model:

$$\displaystyle \begin{aligned} \tfrac{1}{2}C \, U^{2} &= \tfrac{1}{2}\int\left(\varepsilon\,\nabla\varphi\right)\cdot\left(\nabla\varphi\right)\,\,\, \mathrm{d}V = \tfrac{1}{2}{\mathbf{x}_{\varepsilon}}^{\mathrm{T}}\mathbf{L}_{\varepsilon}\mathbf{x}_{\varepsilon} {}\quad ; \end{aligned} $$
(4.8)
$$\displaystyle \begin{aligned} G \, U^{2} &= \int\left(\sigma\,\nabla\varphi\right)\cdot\left(\nabla\varphi\right)\,\,\, \mathrm{d}V = {\mathbf{x}_{\sigma}}^{\mathrm{T}}\mathbf{L}_{\sigma}\mathbf{x}_{\sigma} {} \quad ,\end{aligned} $$
(4.9)

where U is the potential difference applied between the nodes in the EEC and accordingly on the inner boundaries of the field model. The discrete Laplacians L ε and L σ correspond to the electrostatic and stationary-current formulations respectively, and x ε and x σ are the solutions of the discrete Laplace problems accomplished with the above mentioned imprinted potential boundary conditions.

Applying the adjoint sensitivity method [6] to (4.8) or (4.9), the change of a circuit parameter \(Q=\left (C,G\right )\) to a model parameter p reads:

$$\displaystyle \begin{aligned} \frac{\mathrm{d} Q}{\mathrm{d} p} = 2 \mathbf{x}_{\varepsilon, \sigma}^{\mathrm{T}} \left( \frac{\mathrm{d}\mathbf{b}^{\varepsilon,\sigma}}{\mathrm{d}p} - \frac{\mathrm{d}\mathbf{L}^{\varepsilon,\sigma}}{\mathrm{d} p} \mathbf{x}_{\varepsilon, \sigma} \right) + \mathbf{x}_{\varepsilon, \sigma}^{\mathrm{T}} \frac{\mathrm{d}\mathbf{L}^{\varepsilon,\sigma}}{\mathrm{d} p}\mathbf{x}_{\varepsilon, \sigma} = \mathbf{x}_{\varepsilon, \sigma}^{\mathrm{T}}\frac{\mathrm{d}\mathbf{L}^{\varepsilon,\sigma}}{\mathrm{d} p}\mathbf{x}_{\varepsilon, \sigma} \quad , \end{aligned} $$
(4.10)

where \(\frac {\mathrm {d}\mathbf {b}^{\varepsilon ,\sigma }}{\mathrm {d}p}\) denotes the change of the boundary condition terms with the imprinted potentials. In contrast to determining the sensitivities of the partial inductances, here, the adjoint solution does not have to be computed explicitly. As can be shown, the first term between the brackets in (4.10) does not contribute to the sensitivity, as the imprinted boundary potentials are independent from the geometric changes.

3 Application Examples

3.1 Plate Capacitor

An idealized plate capacitor with relative permittivity ε r , surface area S and distance d between both plates, is considered. The analytic solutions for the capacitance and for its sensitivity to d are:

$$\displaystyle \begin{aligned} C_{\mathrm{analytic}}=&\varepsilon_{0}\varepsilon_{r}\frac{S}{d}\quad ; & {\frac{\mathrm{d} C_{\mathrm{analytic}}}{\mathrm{d} d}}&=-\varepsilon_{0}\varepsilon_{r}\frac{S}{d^{2}} \quad . \end{aligned} $$
(4.11)

The application of the described method to the 3D field model shown in Fig. 4.1a gives the results tabulated below. Magnetic boundaries were put around the capacitor, such that the electric field between the plates is perfectly perpendicular to the plates, which represents the “idealized” plate capacitor.

Fig. 4.1
figure 1

Sensitivity maps generated by calculating the geometric sensitivity for predefined partitioned surface parts with respect to their normal vectors. The assigned sensitivities are represented by a color scale on the partitioned parts. (a) Sensitivity map for a plate capacitor, with surface area S = 25 cm2, plate distance d = 4.2 cm and relative dielectric permittivity between the plates 𝜖 r  = 80. Also shown is the potential distribution between the plates. (b) Sensitivity map of a conductive ring with ring diameter D = 200 mm and wire diameter d = 10 mm

C calculated

C analytic

dC adjoint/dd

dC analytic/dd

42.16280 pF

42.16280 pF

\(-10.06852\,\frac {\mathrm {pF}}{\mathrm {cm}}\)

\(-10.03876\,\frac {\mathrm {pF}}{\mathrm {cm}}\)

3.2 Conducting Wire

To test the extraction method, a conducting ring with ring diameter D, wire diameter d and in a medium with permeability μ is considered (Fig. 4.1b). The analytic solutions for the self inductance L analytic[11] and its derivative with respect to d are

$$\displaystyle \begin{aligned} L_{\mathrm{analytic}}=&\tfrac{1}{2} \mu D \left( \mathrm{ln}\, \frac{8 D}{d} - 2 \right)\quad ; & {\frac{\mathrm{d} L_{\mathrm{analytic}}}{\mathrm{d} d}}&=-\mu\left(\frac{D}{2d}\right)\quad .\end{aligned} $$
(4.12)

The mesh faces of the ring (Fig. 4.1b) are displaced along their face normals. Note that the analytic solution is derived for a ring in free space whilst magnetic boundary conditions are used in the numerical experiment, with a distance to the object of four times the ring’s diameter.

L calculated

L analytic

dL adjoint/dd

dL analytic/dd

384.4 nH

386.0 nH

− 25.29 \(\frac {\mathrm {nH}}{\mathrm {mm}}\)

− 25.13 \(\frac {\mathrm {nH}}{\mathrm {mm}}\)

3.3 Low-Pass π-Filter

The 3D model of the π-filter in Fig. 4.3 contains a coil that stands for the inductance and the two bails that represent the inductance of the capacitor. The manually created functional circuit is presented in Fig. 4.2b and the extracted EEC is shown in Fig. 4.2a. Figure 4.2c shows the network simulation results of the idealized functional low-pass filter compared to the results for the EEC. The EEC behavior is non-ideal at frequencies above 5 MHz. A sensitivity analysis on the network level performed for the extracted EEC shows that the coupling between inductances L 1 and L 2 is responsible for most of the undesired high-frequency behavior. To verify this, the coupling factor k 21 between the inductances L 1 and L 2 was set to 0. The corresponding result is compared to the raw EEC S-parameter in Fig. 4.2c. This change improves the filter performance by more than 20 dB.

Fig. 4.2
figure 2

(a) Extracted EEC (b) Idealized functional π-filter circuit (c) Filter transmission S 21(f): Idealized circuit (b) (dashed green curve). EEC of (a) (red curve). EEC without coupling between the capacitors (blue curve)

Fig. 4.3
figure 3

Realization of a π-filter (only conducting parts are shown). Sensitivity map of the inductive coupling factor dk/dp i between the modeled inductance of both capacitors with respect to the surface element normal vectors p i . The values of the sensitivities are represented on the surface parts using a color scale

Knowing that the coupling between the two bails improves the filter performance, its geometric sensitivity map is calculated by applying the adjoint sensitivity method. The geometric sensitivity map (Fig. 4.3) indicates that moving the surface of the bails to the outside and making the embedded area smaller decreases the coupling factor, whereas moving the surfaces to the inside increases the coupling, as expected.

4 Conclusions

In this paper, we provide an extension to a physically interpretable, reduced equivalent electric circuit extraction approach (as described in [1] and [2]). We provide the sensitivity analysis for passive lumped elements by using the adjoint technique. This method allows for an efficient computation of the derivatives of a lumped element parameter with regards to a large number of model parameters. The exemplary validation of the method presents interpretable sensitivity maps that show the sensitivity of a selected circuit parameter visualized on the geometry.