Keywords

These keywords were added by machine and not by the authors. This process is experimental and the keywords may be updated as the learning algorithm improves.

3.1 Introductory Remarks and Brief Outline of the Chapter

Since the proposal of the materials in the early 2000s [13], hafnium-based gate dielectrics have been regarded as the most promising materials for the application to large scale integrations (LSIs). In 2007, Intel announced the start of manufacturing of 45 nm-node LSIs with high-k/metal gate stack, with the remark that the world’s first high-k material in commercial production was hafnium-based [4].

There are several characteristics that the high-k materials should meet for the application to metal oxide semiconductor field effect transistors (MOSFETs) in LSIs. First, we clarify the properties so that readers can understand their importance in the selection process of high-k materials. Next, we present concrete data on HfO2, and identify the reasons why this material is considered the most promising candidate. This is followed by data on its alloy with SiO2 or Al2O3. Along with pure HfO2, these two alloys have been investigated intensively by many companies, institutes and universities world-wide in order to enhance thermal stability and compatibility with the LSI processes. Since HfO2 takes several phases and they influence the high-k properties, this topic is also addressed in this chapter. Taking the higher-k HfO2 phase is regarded as one of the measures to extend the usability of this material through several LSI generations.

3.2 Properties Required for Gate Dielectrics

Listed in Fig. 3.1 are the properties required for high-k materials as alternative gate dielectrics of advanced ultra large scale integrations (ULSIs). They are large dielectric constant, large band-gap energy, large effective mass for tunneling carriers, good integrity of high-k/substrate interface, high reliability against electrical stresses, and compatibility with ULSI processes. Obviously, good uniformity of properties throughout the wafer is essential.

Fig. 3.1
figure 1

Properties required for high-k materials applied as alternative gate dielectrics of advanced MOS transistors

The probabilities of the quantum mechanical tunneling of electrons and holes are governed by the integral of the barrier height that carriers encounter in their attempt to tunnel through the insulators [5]. The simplified equation of the direct tunneling Jg through a gate dielectric is presented in Ref. [6] as follows:

$$ J_{g} \propto \exp \left( { - \frac{{4\pi \sqrt {2qm^{*} \phi_{b} } }}{h}\frac{k}{3.9}t_{EOT} } \right), $$
(3.1)

where tEOT and \( \phi_{\text{b}} \), k and 3.9 correspond to the electrical thickness of the gate dielectric, the energy barrier height formed at the gate dielectric/substrate interface, dielectric constant of the gate insulator and that of SiO2, respectively. m*, q, and h correspond to the effective tunneling mass of the carrier, elementary charge and the Planck constant. The electrical thickness is called the ‘equivalent oxide thickness (EOT)’, which is the SiO2 thickness equivalent to the high-k gate dielectric inducing the same amount of carrier density in the channel region with the same gate voltage.

According to the International Technology Roadmap for Semiconductors (ITRS), the EOT decreases as the device scaling proceeds in order to maintain a high sheet carrier concentration with lower voltages [7]. However, this leads to a drastic increase in power consumption due to the severe gate leakage current from the smaller tEOT in (3.1) [7]. Therefore, material with a larger dielectric constant is used. The physical thickness of high-k gate dielectric tgate with the dielectric constant k is calculated using the following equation:

$$ t_{gate} = \frac{k}{3.9}t_{EOT} $$
(3.2)

3.9 is the dielectric constant of SiO2. Equation (3.2) indicates the thicker physical thickness is obtained with larger dielectric constant and this leads to a decrease in Jg.

In addition to the physical thickness, the energy barrier formed between the high-k material and the substrate should be large. Since silicon has a conduction band edge and a valence band edge at about 4.0 and 5.1 eV from the vacuum level, the conduction band edge of high-k material should be much nearer the vacuum level, whereas the valence band edge should be further from the vacuum level, in order to diminish the tunneling probability of electron transport from the conduction band of silicon in n-channel MOSFETs (NMOS) and hole transport from the valence band of silicon in p-channel MOSFETs (PMOS). A schematic view of the band alignment concept for NMOS is shown in Fig. 3.2.

Fig. 3.2
figure 2

Schematic view of the concept of the band alignment among gate electrode, high-k material, and semiconductor substrate. \( \phi_{\text{bn}} ,\phi_{\text{bp}} \) and m* represent energy barrier for electrons, energy barrier for holes and effective mass for tunneling carriers, respectively

The tunneling probability is also governed by the effective mass of the carriers: a heavier mass leads to lower probability. Therefore, the high-k materials through which carriers tunnel with heavier mass are desirable for the gate insulator. The effective mass of electrons in HfO2 was extracted with the fit between the experimental tunneling leakage current data and theoretical calculations. Those studies have provided figures such as 0.22 m0 [6], 0.15 m0 [8], or 0.1 m0 [9], where m0 represents the free electron mass. It should be noted that the tunneling mass of electrons through SiO2 is as large as 0.4 m0 [6].

Using the function inside the brackets in (3.1), we can define the figure of merit (F.O.M.) of the high-k dielectrics as follows [6]:

$$ F.O.M. \equiv k\sqrt {m^{*} \phi_{b} } $$
(3.3)

A large value of F.O.M. of a high-k material indicates its high potential to diminish the amount of Jg with the fixed tEOT value.

Since the integrity of high-k/semiconductor substrate interface and film reliability issues against electrical stresses are discussed in other chapters, the thermal stability issue is addressed in this chapter. The LSI processes consist of several types of high-temperature annealing such as oxidation annealing, nitrogen annealing, and chemical vapor deposition. When the high-k dielectrics are applied in the conventional LSI processes, a few high-temperature processes including the source/drain impurity activation annealing are performed after the deposition process. Currently, several methods such as spike rapid thermal annealing, flash lamp annealing, and laser thermal annealing are proposed in order to limit the thermal budget of the impurity activation process. The concept of these processes is that thermal energy is provided to incorporate impurity atoms in the substrate crystalline network, limiting the diffusion of the atoms in the device structures. Since the typical temperature does not go below 900 °C, high-k materials on semiconductor substrate should maintain their above-mentioned properties through the high-temperature annealing. It is particularly important to avoid a thermal reaction between high-k materials and the substrate, since such a reaction ruins the integrity of the high-k properties, in many cases.

3.3 Physical and Electrical Properties of Hafnium Oxide

Hafnium is a transition metal with a steel grey color. The atomic number of this element is 72. With its very high neutron-capture cross-section, it is regarded as a good material for the control rods of nuclear reactors [3]. Like other elements in group IV (titanium and zirconium), it is a very reactive material in air and forms several phases of oxides. Among several oxide phases of hafnium, HfO2, called ‘hafnia’, is the only stable compound showing the insulating behavior.

3.3.1 Dielectric Constant and Microscopic Polarization

In LSI processes, HfO2 is deposited either by physical vapor deposition (PVD) such as sputtering [2], chemical vapor deposition (CVD) [1], oxidation of Hf metals [10], or by atomic layer deposition (ALD) [1114]. In many cases, HfO2 forms monoclinic phase just after the deposition process. Even in the case in which it has amorphous phase after deposition, low-temperature annealing transforms the material to polycrystalline monoclinic HfO2 [10].

In the monoclinic crystal structure, the coordination number of Hf is 7, whereas that of oxygen is 3 or 4 [15]. The static dielectric constant of monoclinic HfO2 ranges from 17 [1, 2] to 22 [16]. Throughout this chapter, ‘dielectric constant’ means relative permittivity k. The absolute permittivity ε is the vacuum permittivity ε0 multiplied by this number. The dielectric constant of materials comes from a few components as shown in Fig. 3.3.

Fig. 3.3
figure 3

The frequency dependence of permittivity. ε′ represents the real part, while ε″ represents the imaginary part of the permittivity. Since advanced ULSI operation clock frequency is in the GHz domain, ionic and electronic polarization contributes to the permittivity of high-k materials at the frequencies

For high-k gate dielectrics, the ionic and the electronic polarization components are important, because they follow a high clock frequency (about GHz order) used in advanced ULSIs. The electronic polarization component of the dielectric constant can be extracted using the following equation:

$$ k_{e} = n^{2} , $$
(3.4)

where n represents reflectivity. This component is called the ‘the dynamic dielectric constant’. This is also called the ‘optical dielectric constant’ because it is the dielectric constant in the optical frequency region. Knowing that the reflectivity of HfO2 is about 2.1 [17], the electronic polarization component of this material is estimated to be around 4.4. Since this value is small compared to the static dielectric constant value [1, 2, 14], one can assume that the reason for the high static dielectric constant of this material resides in a large ionic polarization. The Clausius–Mossotti theory correlates the static dielectric constant k and the structural parameters as follows:

$$ \frac{k - 1}{k + 2} = \frac{4\pi \alpha }{{3V_{m} }}, $$
(3.5)

where Vm and α are the molar volume in Å3 and the polarizability of each molecule, respectively. This equation can be rewritten as follows:

$$ k = \frac{{1 + \frac{8\pi \alpha }{{3V_{m} }}}}{{1 - \frac{4\pi \alpha }{{3V_{m} }}}} $$
(3.6)

This clearly states that the increase in α and the decrease in Vm lead to the increase in the dielectric constant of the material. In the case of HfO2, the polarizability of each molecule and molar volume is about 7.3 and 35 Å3, and its combination results in large dielectric constant among many metal oxides [18]. The polarizability of each molecule and molar volume of SiO2 is 4.8 and 38 Å3, for comparison [18]. Although there is little difference between the molecular volumes of the two materials, the polarizability is much larger for HfO2 than for SiO2. This large polarization can be ascribed to the large difference between the electro-negativity of Hf and O: Hf electronegativity is 1.3 (Pauling), whereas that of oxygen is 3.44 [19]. It should be noted that the electronegativity of Si is as large as 1.9, which is larger than that of Hf and nearer to the value of oxygen (Table 3.1).

Table 3.1 Pauling’s electronegativity for typical cation and anion elements [19]

3.3.2 Bandgap and Band Alignment with Silicon

As mentioned in Sect. 3.2, band-gap energy of a high-k material is the basic parameter influencing the F.O.M. of the material. Figure 3.4 shows the relationship of band-gap energy and dielectric constant of metal oxides.

Fig. 3.4
figure 4

Correlation of static dielectric constant with band gap of metal oxides. (Reprinted with permission from [26]. Copyright 2002, American Institute of Physics)

The general trend of these two parameters indicates that as the dielectric constant of material increases, the bandgap energy decreases. Considering that the transition probability of electrons from the stable states to the excited states increases owing to the decrease in the bandgap, it can be understood that the dynamic dielectric constants increase for high-k materials with narrower bandgap energies [20].

Bandgap energy of materials can be measured with a few analytical techniques such as XPS (X-ray photoelectron spectroscopy) [21], ellipsometry [22], and REELS (reflection electron energy loss spectroscopy) [23]. The measured bandgap of the monoclinic HfO2 is 5.7 eV [22] or 5.8 eV [23, 24]. Although the bandgap is smaller than that of SiO2 (about 9 eV) [23, 25], the value is still large among high-k materials. Theoretical calculation of this material elucidates that its conduction band originates from 5d band of Hf, whereas the valence band originates from 2p states of oxygen [26].

XPS measurement is also a very useful technique for the extraction of the band alignment of high-k materials to the underlying substrate. It is well known that work functions of pure metals are not realized when they contact semiconductors and form metal/semiconductor systems. This is certainly the case for a semiconductor with a relatively small bandgap such as Si (about 1.1 eV) [27]. For example, aluminum, which has been used as the interconnection metal in LSIs for a long time, has bulk work function of about 4.0 eV; however, its effective work function in Al/Si system is about 4.7 eV [27]. This discrepancy is explained using the CNL (Charge Neutrality Level) of semiconductor and the formation of dipole at the metal/semiconductor interface [28]. A similar theory is applicable to the insulator/semiconductor systems using the CNL of insulator as an alternative to Fermi level of metal in metal/semiconductor systems [26].

Normally, valence band spectra from XPS measurements are used to extract the band alignment of the high-k/semiconductor systems [29]. This measurement reveals binding energies of the tops of the valence bands for high-k materials and the underlying semiconductor substrate. The important point is that those two binding energy values come from one sample. By calculating the energy difference of the two, one can extract valence band offset between them. In addition, if the band-gap energies of two materials are known by means of the other methods mentioned above, the conduction band offset can be readily obtained. See Fig. 3.2 for the reference of this procedure. Another common method of extracting the band offset is IPES (internal photo-emission spectroscopy) measurement: When electrons are provided with sufficient energy to overcome the band offset, they are detected as the current [30]. The extracted conduction band offset of HfO2 was 1.2 eV [31] or 1.9 eV [32]. This relatively large value along with the large dielectric constant promises a dramatic decrease in leakage current by 4 or 5 orders of magnitude through HfO2, compared to that through SiO2 with the same EOT [1, 2].

3.3.3 Compatibility with LSI Processes

Another important factor considered in high-k material selection is the thermal stability in the ULSI fabrication processes. ‘Thermal stability’ has several aspects as follows:

  1. 1.

    The melting point of the material must be higher than the temperature in ULSI fabrication process

  2. 2.

    The metal oxide should not be reduced by the neighboring materials including the substrate semiconductor during ULSI fabrication processes

  3. 3.

    Reaction with neighboring materials other than reduction should not occur in ULSI fabrication process.

Hubbard et al. [33] used these criteria to select possible high-k insulators among many metal oxides. Regarding the second and the third criteria, they supposed the following reactions and tried to find metal oxides (MOx) whose reaction Gibbs free energies, ∆G, become positive. With positive ∆G value, these reactions become endothermic, indicating that the metal oxide is stable in contact with the substrate silicon. Through this procedure, they selected several metal oxide candidates, including HfO2.

$$ {\text{MO}}_{x} + {\text{Si}} \to {\text{M}} + {\text{SiO}}_{2} + \Updelta G\;\;(\text{R1}) $$
$$ {\text{MO}}_{x} + {\text{Si}} \to {\text{MSi}}_{x} + {\text{SiO}}_{2} + \Updelta G\,\,(\text{R2}) $$

With a melting point of 2,758 °C and a boiling point of 5,400 °C, HfO2 is expected to be a very stable material. Moreover, Hubbard et al. calculated the Gibbs free energy of R1 at 1,000 K and concluded that R1 reactions are not expected for HfO2 at least at this temperature. They could not calculate Gibbs free energy of R2 reactions for HfO2, because of the lack of thermodynamic data for HfSi2. However, given that R1 and R2 reactions are both endothermic for ZrO2 at 1,000 K, one can expect the stability of HfO2 against R2 reactions, considering the similarity of the two materials. This high thermal stability along with the drastic reduction of the gate leakage current makes HfO2, as well as ZrO2, a promising material for the high-k gate dielectrics in advanced ULSIs.

However, in ULSI processes, severer process condition may cause problems even for these materials: zirconium silicide formation was reported when high-temperature annealing (around 950–1,000 °C) was performed under high vacuum or in an oxygen-deficient ambient [3436] as shown in Fig. 3.5 [37]. Although silicide formation temperature is higher for HfO2 than for ZrO2 [38], a similar reaction was detected [39, 40]. A different reaction model that includes reduction of the interfacial SiO2 layer was proposed as follows (R3) [41] and this may be the probable reason for the increase of the leakage current of HfO2/SiO2 gate stack after 950 °C annealing in N2 ambient [42]:

$$ {\text{HfO}}_{2} + {\text{SiO}}_{2} + {\text{Si}} \to {\text{HfSi}}_{x} + 2{\text{SiO}}(g) + {\text{Si}}\;\;(\text{R3}) $$
Fig. 3.5
figure 5

ZrO2/SiO2 gate stack reacts with Si substrate when annealed in a N2 ambient at 1,000 °C, resulting in the ZrSix formation [37]

Another issue concerning the compatibility of HfO2 with ULSI process is the severe diffusion of oxygen through the film [43, 44]. High-temperature annealing in oxygen-containing atmosphere is commonly practiced to improve the leakage characteristics of the gate stack. Moreover, the residual atmosphere in the production furnaces contains oxygen. The oxygen diffusion during high-temperature annealing in oxygen-containing ambient leads to EOT increase due to the interfacial layer growth and ruins the leakage suppression characteristics. The experimental result shows that the activation energy of this phenomenon is low, 0.6 eV [45]. This fast interfacial layer growth is attributed to the fast oxygen diffusion in HfO2 and the enhanced oxidation at the interface owing to the catalytic function of the HfO2 layer [40, 46, 47].

The diffusion of common impurities such as arsenic and boron would pose a problem when poly-Si gate electrode is used with the high-k material [48]. When poly-silicon is used as the gate electrode, it must be highly doped with phosphorous and arsenic for NMOSFETs and with boron for PMOSFETs to reduce the sheet resistance of the electrode and adjust the threshold voltage. Thin HfO2 cannot work as the diffusion barrier for arsenic [49] or boron [50, 51] and this diffusion leads to unintentional decrease in the threshold voltage or even to severe leakage current between source and drain (punch-through phenomenon).

Therefore, suppression of the above-mentioned issues is the incentive for incorporation of other elements such as nitrogen, aluminum and silicon into HfO2. The change in the material’s properties as a result of their incorporation is also addressed in the following sections.

3.4 Hafnium–Nitrogen-Based Gate Dielectrics

Nitrogen has been introduced into HfO2 in order to enhance the thermal stability of the material. HfON is deposited by N2/O2 reactive sputtering using Hf target [52]. HfON can also be formed by N2 reactive sputtering from Hf target followed by rapid thermal oxidation [42]. CVD deposition of this material has also been reported [53]. Kang et al. [42] claimed that nitrogen incorporation at the gate dielectric/Si interface region and SiN formation may lead to the suppression of SiO formation in the reaction R3 mentioned above, resulting in the suppression of the leakage current increase. The suppression of diffusion of oxygen [42, 43] as well as impurities such as boron [48, 53, 54] through the film has also been reported to be a beneficial influence of the nitrogen incorporation.

As in the case of SiON [55], nitrogen incorporation reduces the bandgap of HfO2. This is ascribed to the replacement of the valence band edge from O2p orbital to N2p orbital [56]. Although it leads to the decrease of its valence-band barrier offset to Si substrate by about 1.1 eV, a sufficiently large energy barrier (about 2.2 eV) is retained to suppress the hole injection from the substrate in PMOSFETs [56] (Fig. 3.6).

Fig. 3.6
figure 6

Calculated densities of states for HfO2 and HfON. Band-gap modification due to the nitrogen incorporation into HfO2 is shown. (Reprinted with permission from [56]. Copyright 2004, American Institute of Physics)

Pure nitrides of fourth-column transition metals (Ti, Zr and Hf) share similar characteristics. All form MN-type nitrides with NaCl structure, and with more nitrogen in the structures, they form M3N4-type nitrides. Hafnium pure nitrides such as HfN [57, 58] and Hf3N4 [59, 60] have been reported. Among them, Hf3N4 shows insulating behavior. It is reported that Hf3N4 may solidify in different crystalline structures according to temperature and pressure around the material [61, 62]. First-principle calculation predicted the band-gap of Hf3N4 to be as large as 1.8 eV [62] and electrical measurement of CVD Hf3N4 revealed the dielectric constant to be about 30 [60]. Since HfON can be regarded as an alloy of HfO2 and Hf3N4 [63], intermediate characteristics are anticipated for HfON. Having thoroughly investigated the physical properties of HfON with various nitrogen content, Ino et al. concluded that the above-mentioned Clausius–Mossotti equation (3.6) describes the dielectric constants of the alloys well [64]. They calculated the static polarizabilities αtotal using the additive rule of the static polarizability of each constituent element [18] as follows:

$$ \alpha_{total} = C_{Hf} \alpha_{{Hf^{4 + } }} + C_{O} \alpha_{{O^{2 - } }} + C_{N} \alpha_{{N^{3 - } }} , $$
(3.7)

where CHf, CO, and CN represent content of hafnium, oxygen, and nitrogen in the alloy, respectively. Given the polarizability of the oxygen ion [18], they estimated the polarizability of hafnium ion by using the material data of HfO2 [65]. They also estimated that of the nitrogen ion by using data for some metal nitrides [64]. Figure 3.7 shows dielectric constants calculated using (3.7) and estimated molar volumes for α (m-HfO2), γ2 (Hf7O11N2), γ3 (Hf7O8N4), and γ4 (Hf2ON2). The calculated values fit experimental data very well, especially for N content of less than 18 %. Ino et al. also extracted the band alignment of the alloys using REELS and valence spectra of XPS and concluded that this material is one of the better candidates for high-k gate dielectrics.

Fig. 3.7
figure 7

Dielectric constant of HfON versus N content. Calculation results based on the Clausius-Mossotti theory are compared to the experimental values [64]. In this figure, α, γ2, γ3, and γ4 represent m-HfO2, Hf7O11N2, Hf7O8N4 and Hf2ON2, respectively

3.5 Hafnium–Silicon-Based Gate Dielectrics

HfO2–SiO2 alloy is formed using various techniques such as chemical vapor deposition (CVD) [66, 67], plasma-enhanced CVD [25], and atomic layer deposition (ALD) [68, 69]. For silicon deposition by the CVD method, organic precursors such as SiH[N(C2H5)2]3, tetraethoxy-silane (TEOS:Si(OC2H5)4), and tetrakis-dimethylamino-silane (TDMAS:Si[N(CH3)2]4) were normally used. By changing the ratio of precursor flow, Hf content and Si content were varied in the material. Physical vapor deposition methods are also used such as pulsed-laser deposition (PLD) [70], sputtering from composite target [71], from HfO2 target with SiH4 gas [72], reactive sputtering from Hf and Si targets [73], and electron beam evaporation [74].

Since Hf is an element in group IV, tetravalent coordination of Hf in the silicate is expected for material with low Hf concentration, in which the SiO2 tetrahedron is the main component and a few Hf cations are expected to replace some Si in the network [75]. On the other hand, as mentioned above, the coordination number of Hf is 7 and that of oxygen is 3 or 4 in the monoclinic HfO2 crystal structure. Therefore, modification of the coordination number is expected when Hf content increases in the pseudo-alloy materials [76]. Some microscopic inhomogeneity originating from the coordination variability has been observed as the broadening of Si2p and Hf4f peaks in the XPS spectra from the alloy [77].

Unfavorable Hf silicide formation observed in the case of HfO2 is suppressed even at high temperature by the inclusion of SiO2 [74]. In addition, the oxygen diffusion in HfSiO is retarded, leading to the suppression of interfacial layer growth in an oxygen-containing atmosphere at high temperature [78]. SiO2 incorporation is also effective for suppressing the impurity penetration phenomena, which are problematic when poly-Si gate electrode is used. Boron diffusion through the HfSiO film with the Hf/Hf+Si ratio of 0.52 (atomic concentration of Hf is about 10–12 %) is suppressed up to 950 °C [79]. However, considering that the process temperature for the activation of impurities in the source/drain of MOSFET is as high as 1,000 °C, the capability of Hf silicate as a diffusion barrier is insufficient. Moreover, it is reported that phosphorous and arsenic diffused through the HfSiO with the same Hf concentration during 1,000 °C rapid thermal annealing (RTA) [80]. Such impurity diffusion is ascribed to the enhanced diffusion at the grain boundaries formed in the resultant ‘phase separation phenomena’ in HfSiO at high temperatures [79, 80] as described below.

When the pseudo-alloy is annealed at high temperature, it is observed that the material loses its uniformity and HfO2 precipitates in the remaining silica-rich matrix [16, 81]. Transmission electron microscope (TEM) photographs clearly show the crystallization of HfO2, which results in the inhomogeneity in the materials after 800 °C–1,000 °C high-temperature annealing [82]. Even in the alloys with relatively low content such as Hf/Hf+Si = 0.1, small HfO2 crystals emerge after 1,000 °C annealing [83]. Figure 3.8 shows the planar TEM photographs of the HfSiO alloy after high-temperature annealing. Elements with larger mass in the film have larger capability for the diffraction of incident electrons. Therefore, the dark area corresponds to those containing Hf in the film. Actually, the enlargement of the portion suggests that this area consists of orthorhombic HfO2 crystal as shown in the inset of the figure [83].

Fig. 3.8
figure 8

HfO2 precipitation after 1,000 °C annealing of the HfSiO alloy with Hf relative content (Hf/Hf+Si) of 10 % [83]

These phase separation phenomena are ascribed either to the spinodal decomposition or to the nucleation and growth [84]. Cahn treated alloys as a super-cooled liquid solution and reported that the system’s total Gibbs free energy change becomes negative owing to the compositional fluctuation for a certain initial compositional region. This is where the following equation is satisfied, and the decomposition takes place spontaneously in this region [85]:

$$ \frac{{\partial^{2} G}}{{\partial C^{2} }} < 0, $$
(3.8)

where C represents the initial uniform composition of the alloy. This compositional region and its boundary are referred to as the spinodal region and the spinodal boundary.

Figure 3.9 shows the phase diagram of HfO2–SiO2 system, in which the spinodal boundary is indicated as the inner dotted line [16]. The alloys whose compositions are located inside the spinodal boundary are unstable and tend to suffer from phase separation.

Fig. 3.9
figure 9

Phase diagram of HfO2–SiO2 compositional systems. Inner dotted line and outer dotted line represent the spinodal boundary and the miscibility gap, respectively. (Reprinted with permission from [16]. Copyright 2002, Materials Research Society)

The compositions of the resultant two different phases approach those indicated by the miscibility gap curve (the outer dotted line in Fig. 3.9) when the system reaches equilibrium [16].

Even alloys whose compositions are outside the spinodal boundary may also suffer from phase separation by the nucleation and growth mechanism: once nuclei of a certain phase with a diameter larger than the critical size are formed, the growth of the nuclei is favorable for reducing the free energy of the system [86]. The phase separation observed for an alloy with low HfO2 contents (Hf/Hf+Si = 0.1) as shown in Fig. 3.8 [83] may be caused by the nucleation and growth mechanism. This assumption is supported by the fact that the HfO2 crystals precipitated in the alloy are round, which is typical for this mechanism [86]. On the other hand, HfO2 crystal forms rather dendritic shapes in samples with larger HfO2 content when the phase separation takes place. This phenomenon is shown in Fig. 3.11a for the material with Hf/Hf+Si = 26 % and in Ref. [87] for that with Hf/Hf+Si = 0.4. The kinetics of these phenomena is considered to be limited by the diffusion of the constituent material, i.e. Hf, Si or both in the alloy system [84].

The phase separation has several adverse effects in addition to the above-mentioned enhancement of the impurity diffusion through the alloy. One of the influences is the degradation of the dielectric constant: Ino et al. measured the dielectric constant of HfSiO alloy with HfO2 content of 0.1 and 0.2 and concluded that the resultant non-uniformity in the film after the high-temperature annealing leads to a severe decrease in the average dielectric constant as shown in Fig. 3.10 [88]. Ono et al. [83] modeled the film structure due to the phase separation and calculated the change of the film’s dielectric constant. They obtained a reasonable match to the experimental results.

Fig. 3.10
figure 10

Average dielectric constant of the film just after deposition and after the phase separation at high-temperature annealing [88]

It has also been reported that additional scattering of carriers moving through the channel occurs owing to the non-uniformity of the electric field in the gate insulator and this degrades the electron mobility of transistors with the alloy [89].

Nitrogen is incorporated in order to suppress the phase separation in HfSiO alloy [90, 91]. Nitrogen is incorporated by the introduction of nitrogen-containing gas in reactive sputtering [90]. Oxidation of HfSiN was also tried in order to obtain the HfSiON structure [92]. There is another method in which HfSiO is firstly deposited and nitrogen is introduced by subsequent NH3 annealing [93, 94] or nitrogen plasma treatment [94, 95]. Sekine et al. compared the applicability of the two techniques to short-channel MOSFETs and concluded that the plasma treatment is favorable for keeping high carrier mobility in the transistor. As in the case of SiON, nitrogen at the interface between the gate dielectric and the substrate silicon induces charges that scatter carriers in the channel region. Since the plasma treatment is effective for obtaining larger concentration at the surface of HfSiO while maintaining low concentration in the vicinity of the interface, this method is effective for keeping larger carrier mobility of MOSFETs [94].

The effect of the nitrogen incorporation on the phase separation phenomenon is investigated by changing the amount of nitrogen in the material. Figures 3.11 show the TEM planar views of the Hf-silicate films (a) without nitrogen, (b) with a nitrogen atomic concentration [N] of 5 at.%, and (c) 30 at.% after the 1,000 °C annealing [73]. The relative concentration of Hf to Hf+Si (Hf/Hf+Si) was about 25 % for all samples. Microcrystals with a diameter of several nanometers formed in the film without nitrogen during the annealing as shown in Fig. 3.11a. The diameter decreased in the film with the nitrogen incorporation of 5 at.% and uniform amorphous film was obtained for the sample with the 30 at.% nitrogen concentration.

Fig. 3.11
figure 11

TEM photographs of HfSiON with different nitrogen content after 1,000 °C annealing in nitrogen ambient. Hf ratio (Hf/Hf+Si) is around 22 %. Nitrogen atomic concentration is a 0 at.%, b 5 at.% and c 30 at.%. Annealing was performed in N2 ambient at 1,000 °C for 30 s. [73]

The mechanism of this phase separation suppression due to the nitrogen incorporation has not been investigated in detail yet. However, considering that the phase separation speed is limited by the diffusion of the constituent material, i.e. Hf, Si or both, in the alloy system [84], it is reasonable to think that nitrogen incorporation in the amorphous network retards such diffusion in the materials [96].

The nitrogen concentration criterion for keeping the thermal stability of HfSiO is definitely dependent on the hafnium content in the film. The lower limit of nitrogen concentration that can sustain the amorphous structure, avoiding the phase separation and crystallization, was investigated extensively for the case of 1,065 °C spike annealing in nitrogen ambient [97]. The lower limit increases gradually when Hf content increases in the film, however, for films with Hf/Hf+Si larger than 80 %, the lower limit increases dramatically.

Another beneficial effect of the nitrogen incorporation is the suppression of the diffusion of the impurities such as boron, phosphorous, and arsenic through the film [73, 98, 99]. As mentioned above, Quevedo-Lopez et al. [99] ascribed high diffusivity of the impurities in HfSiO to the formation of the grain boundary as a result of the phase separation and high diffusion coefficient at the grain boundary. They claim the reason for the lower diffusion coefficient of impurities through HfSiON resides in the film uniformity as well as the fact that the film retains its amorphous structure. Koyama et al. [100] also investigated the correlation between the diffusion coefficient and the film structure, concluding that not only film uniformity but also the film microscopic structure changes the coefficient: larger nitrogen concentration and lower Hf concentration lead to the lower diffusion coefficient of boron at 1,000 °C even among amorphous films.

Nitrogen incorporation in the HfSiO alloy increases the film dielectric constant as shown in Fig. 3.12 [97]. Hf/Hf+Si ratio is taken as a parameter in this figure. The measurement is performed for films without any high-temperature annealing, meaning that there is no influence of the phase separation in this case. As expected, increase in Hf content leads to an increase in the dielectric constant from the SiO2 value to the HfO2 value. For any relative Hf concentration, larger nitrogen incorporation generally increases the dielectric constant. Considering that Si3N4 has a larger k-value (about 7.8) than that of SiO2, nitrogen incorporation is expected to enhance the dielectric constant; however, Koike et al. claimed that the dielectric constant increases drastically with Hf–N bond formation for a certain [N] level depending on Hf content in the film [97]. The dotted line in Fig. 3.12 indicates the critical [N] concentration. It is considered that HfSiON in the high dielectric constant region can be regarded as a pseudo-quaternary alloy consisting of four insulating components: SiO2, HfO2, Si3N4, and Hf3N4 [17]. Since Hf3N4 is an insulating material with a large dielectric constant as mentioned in Sect. 3.4 [60, 62], it is plausible to think that this component contributes to the abrupt increase in the dielectric constant of the alloy.

Fig. 3.12
figure 12

Dielectric constant of HfSiON as a function of the nitrogen incorporation, evaluated from CV measurement for thick (about 100 nm) films with various concentrations. Hf/Hf+Si is taken as a parameter. Nitrogen atomic concentration [N] region where clear Hf–N bonds are observed in XPS is also shown [97]

Band-gap energies of the HfSiO with various concentrations have been investigated with XPS, Ellipsometry, and REELS techniques [23, 25]. Kato et al., used XPS and ellipsometry to extract band-gap energy of HfSiO alloy and concluded that Hf incorporation into SiO2 network decreases the band-gap energy of the materials from that of SiO2 to the HfO2 value as in Fig. 3.13. An interesting point is that the value shows steep decrease at low Hf content and saturates at HfO2 value already at Hf/Hf+Si of about 0.6. This may be due to the difference in the microscopic structure between SiO2 and HfO2. Coordination of Hf atoms in SiO2 crystal network may be different from that of Hf atoms in HfO2 ionic crystal structure, leading to the different conduction band energies [76].

Fig. 3.13
figure 13

Band-gap energy estimated from the XPS analyses as a function of the composition x(=Hf/Hf+Si). Circles and triangles represent data for HfSiO and ZrSiO, respectively. (Reprinted with permission from [25]. Copyright 2002, American Institute of Physics)

Nitrogen incorporation further decreases the band-gap [23, 101]. Figure 3.14 shows band-gap energy of HfSiON with Hf/Hf+Si value of 0.6 and 0.8. Although nitrogen incorporation gradually decreases the band-gap energy at low nitrogen concentration [N], it leads to abrupt decreases at critical [N]s. It is ascribed to the Hf-N bond formation [23]. As mentioned in Sect. 3.4, the nitrogen incorporation moves the valence band edge nearer to the vacuum level by the replacement of the edge from O2p band to N2p band [56], leading to the valence band offset decrease.

Fig. 3.14
figure 14

Band-gap energy of HfSiON with Hf/Hf+Si of 0.6 and 0.8. Nitrogen incorporation gradually decreases the band-gap energy at low nitrogen concentration [N]; however, it leads to abrupt decreases at critical [N]s, depending on the Hf contents in the alloy. It is ascribed to the Hf–N bond formation [23]

Contrary to the prediction by the theoretical calculation [56], the experimental result shows that nitrogen incorporation in HfO2 as well as HfSiO network also leads to the decrease in the conduction band offset [23]. It has been reported that a large amount of N in HfO2 network could induce a large amount of oxygen vacancy, Vo, and lead to Vo–Vo interactions, resulting in the modification of the conduction band structure [102].

As mentioned in Sect. 3.2, the potential of high-k material as a gate leakage suppressor is measured conveniently with the figure of merit (F.O.M.),

$$ F.O.M. \equiv k\sqrt {m^{*} \phi_{b} } , $$
(3.3)

where k, m* and \( \phi_{\text{b}} \) are the film dielectric constant, effective mass of the carrier and the barrier height, respectively. The F.O.M. for HfSiON films with various Hf and N concentrations are plotted in Fig. 3.15 [103]. Since the effective masses both for electron and hole are not clear yet for HfSiON, m* was set to be 1 for all concentrations for the purpose of relative comparison in this figure. Figure 3.15 shows that the F.O.M. becomes larger as Hf concentration increases, indicating the larger potential as a suppressor of gate leakage current. This figure also shows that, contrary to the F.O.M. enhancement due to the Hf relative concentration increase, [N] hardly changes the F.O.M. value. This is because the dielectric constant increase leads to the band-gap and barrier height decrease in HfSiON [23]. A similar figure concerning the hole tunneling is shown in Ref. [103]. Considering that larger [N] leads to higher thermal stability, HfSiON with high Hf and N concentrations seem to be more desirable. It should be mentioned, however that the discussion above is valid only when modulation of the Hf and N concentration does not change the effective mass of the carriers much. As mentioned in Sect. 3.2, Hf incorporation decreases the effective mass, while nitrogen incorporation into SiO2 network increases it [104, 105]. The effective mass should be evaluated for HfSiON before concluding the F.O.M. dependence on the concentration of each constituent material.

Fig. 3.15
figure 15

Contour plots of the F.O.M. for electrons tunneling from Si conduction band. Values for HfSiON films with various Hf and N concentrations are plotted. The effective mass of carriers m* was set to be 1 for all concentrations for the purpose of relative comparison [103]

3.6 Hafnium–Aluminum-Based Gate Dielectrics

HfO2–Al2O3 alloy is formed using chemical vapor deposition (CVD) [106], atomic layer deposition (ALD) [107], pulsed laser deposition (PLD) [108], jet vapor deposition (JVD) [109], and sputtering from composite target [110]. Normally, tri-methyl-aluminum (TMA: Al(CH)3) is used for the Al2O3 deposition in the CVD/ALD process, whereas organic materials such as tetrakis-dimethylamino-hafnium (TDMAH:(Hf[N(CH3)2]4) or tetrakis-diethylamino-hafnium TDEAH (Hf[N(C2H5)2]4) are used for HfO2 deposition. Therefore, a certain amount of carbon is expected to be present in the film. The intermittent high-temperature annealing between ALD stages [111] or intermittent NH3/Ar plasma treatment [112] is effective for reducing the carbon content in the material and this leads to the decrease in the leakage current through the film [112].

As in the case of Hf silicate, Hf silicide formation that leads to the increase in leakage current through the film is suppressed even at high temperature with Al2O3 incorporation [108, 113], except for the case in which oxygen deficiency occurs in the deposition process [108]. Oxygen diffusion in HfO2 is also retarded by the presence of Al2O3 component, leading to the suppression of interfacial layer growth in an oxygen-containing atmosphere at high temperature [113]. This phenomenon can be attributed to the very small diffusivity of oxygen in Al2O3 compared to that in HfO2 [114].

However, impurity penetration phenomena such as boron diffusion, which is problematic for poly/high-k gate stack, are hardly suppressed in HfAlO system [115] because of the limited impurity diffusion barrier characteristics of Al2O3 [116]. Moreover, phase separation between HfO2 and Al2O3 accompanied by HfO2 crystallization occurs as in the case of HfSiO, when HfO2 content is large relative to Al2O3 [111] and this partial crystallization may cause the inhomogeneity and the enlargement of the leakage current through HfAlO after the high-temperature annealing [117].

As expected from the dielectric constant values for HfO2 and Al2O3, the dielectric constants of HfAlO are intermediate between the two. They have been investigated thoroughly by the conventional C–V measurement with a number of samples with various Hf content and Al content as shown in Fig. 3.16 [118]. It should be noted that the measurements were performed with HfAlO layer deposited by metal organic chemical vapor deposition (MOCVD) in which Hf and Al precursors were introduced in the chamber at the same time with various flow ratios. The deposition process was performed at 500 °C, followed by post-metallization annealing at 400 °C. Therefore, homogeneous alloy structure was expected without the phase separation in this case. The film dielectric constant decreases from the value of HfO2 to that of Al2O3 almost linearly as Al atomic concentration increases. Note that Al atomic concentration in pure Al2O3 is 40 at.%.

Fig. 3.16
figure 16

Dielectric constant modification by the increase of Al content in HfAlO alloy [133]

The band-gap energy also takes intermediate values between those of HfO2 and Al2O3 [32, 107]. Ohta et al. [119] used XPS measurement in order to extract the band-gap energy and the band alignment to Si using HfAlO with the cation ratio Hf/Hf+Al of 0.3. This film was deposited by ALD using HfCl4, Al(CH3)3, and H2O at 300 °C. Although the film was subjected to 1,050 °C RTA, it was confirmed that this film was not crystallized through the annealing [119]. The extracted band-gap was as large as 6.5 eV, which is an intermediate value between 5.8 eV (HfO2) and 8.8 eV (Al2O3). From the valence band spectra of XPS, it was confirmed that the valence band and conduction band offsets of this HfAlO are as large as 1.78 and 3.6 eV, respectively [119]. Similar result is reported from another group as shown in Fig. 3.17 [32]. These values are large enough to suppress the leakage current through the high-k film.

Fig. 3.17
figure 17

Dependence of band-gap EG, the valence band offset ∆Ev, and the conduction band offset ∆Ec for (HfO2)x(Al2O3)1-x. The EG and ∆Ev data were obtained by XPS measurements. The ∆Ec data are calculated by the ∆Ec = EG − ∆Ev − EG, Si. The solid lines are linear least square fits of the data points. (Reprinted with permission from [32]. Copyright 2002, American Institute of Physics)

Nitrogen is added to the material in order to suppress the thermal stability issues such as impurity penetration as well as phase separation and crystallization. Nitrogen incorporation is realized by co-sputtering of HfO2 and Al2O3 in Ar/O2/N2 ambient [115], or NH3 annealing during or after the HfAlO deposition process [111]. Nitrogen incorporation is effective for suppressing the boron diffusion [115]. It is also effective for enhancing the crystallization temperature [111]. This practice even enhances the dielectric constant of the material [120] as in the case of HfSiO, which is beneficial for the realization of smaller EOT gate stack.

3.7 Doped Hafnium-Based Gate Dielectrics

For the further increase in figure of merit (F.O.M.) of the gate insulator, high-k material with a dielectric constant of more than 25 has been searched for, recently. As La has very large ionic polarizability among cation candidates [18], La-based high-k material exemplified by La2O3 has been investigated intensively. Since this topic is discussed in detail in Chap. 9, research for obtaining a large dielectric constant of more than 25 using Hf-based material is discussed in this section.

A reasonable way of enlarging the dielectric constant is to mix HfO2 with other MOx that has larger dielectric constant. Since TiO2 has a very large dielectric constant of more than 50 [26], this material may be a good candidate for the mixed oxide. HfTiAlO was deposited by co-sputtering for that purpose and it realized a high dielectric constant of 36 [121]. The drawbacks of TiO2 are its small band-gap energy (about 3 eV) and almost negligible barrier height from Si conduction band edge [122]. However, this HfTiAlO showed a barrier height of 1.3 eV from the Si conduction band edge, which is not much smaller than that of HfO2. Mixing TiO2 with HfO2 may change the coordination of Ti atoms inside the alloy, resulting in the realization of a larger barrier height.

Since theoretical study predicted that the cubic HfO2 and the tetragonal HfO2 could have large dielectric constants of 29 and 70 [123] respectively, much work has been done to transform the material to such higher dielectric constant phases. It is well known that the monoclinic phase is the most stable one below 1,750 °C [10, 124]. Therefore, the research interest resides in how to stabilize the cubic and tetragonal phases at temperatures as low as 1,000 °C. Small amount of yttrium doping into HfO2 network (about 5 at.%) enhanced the dielectric constant value to 27, as a result of the formation of cubic HfO2 phase [125]. The process temperature for the transformation was as low as 800 °C in this case. The transformation was confirmed by the X-ray diffraction (XRD) spectrum, which indicated the molar volume reduction of the material from that of the monoclinic phase [125]. The doping species is not limited to yttrium but other rare earth materials such as La [126], Gd [127], Er [127], Dy [127, 128], Sc [128] or Ce [129] show a similar effect. Figure 3.18 shows the dielectric constant variation of Gd-, Er-, and Dy-doped HfO2 as a function of the doping concentration [127]. In the case of Gd, the larger doping with 20 at.% shows the largest dielectric constant, 27, whereas in the case of Er and Dy, doping level of 10 at.% gives the largest value, 28, as a result of the tetragonal HfO2 formation. It has also been reported that Dy doping transforms HfO2 to its cubic phase and enhances the dielectric constant to 32 [128]. It should be noted that these enhancements cannot be ascribed to the rare earth oxide formation in the materials, because those oxides have smaller dielectric constant than monoclinic HfO2. Actually, larger doping of the rare earth elements resulted in the decrease of the dielectric constant of the materials, as shown in Fig. 3.18. It has also been reported that even a small amount of Si doping (about Si/Hf+Si ratio of 0.05) could lead to the tetragonal HfO2 formation, which enhances the dielectric constant to 27 [130].

Fig. 3.18
figure 18

Dielectric constant variation of Gd-, Er-, and Dy-doped HfO2 as a function of the doping concentration. Data for Er-doped ZrO2 is also shown. (Reprinted with permission from [127]. Copyright 2007, American Institute of Physics)

The doping was realized by using the rare earth oxide or SiO2 target simultaneously when HfO2 is sputter-deposited. Doping is also performed by adding Dy or Sc containing precursors in HfO2 MOCVD. Although the doping effect on the HfO2 phase transformation is not clear yet, some authors claim that the larger ionic radii of rare earth elements raise internal compressive stress in the HfO2 network, leading to the realization of the smaller molar volume (tetragonal or cubic) phases [127]. Theoretical study using first-principles calculations of doped HfO2 indicated that the doping modifies the energy of the monoclinic and the tetragonal phases and concluded that Si and Ge are favorable elements for the modification. However, it could not elucidate any clear dependence of the energy modification on the ionic radii of the dopants [131].

There is another method in which the stress is induced not by the doping but by the annealing with a cap layer. Migita et al. indicated that the low-temperature post-deposition annealing (PDA) of HfO2 with the cap gate electrode (TiN or TaN) enhances the dielectric constant up to about 50 [132]. Figure 3.19 shows the XRD spectra and indicates that the crystal phase after PDA with the cap electrode is that of cubic HfO2, whereas the monoclinic phase is obtained when PDA is performed without the cap. The advantage of this method is that there is no need to use elements other than Hf and oxygen. This could circumvent the problems associated with the use of other elements in the LSI process such as cross-contamination.

Fig. 3.19
figure 19

XRD spectra of HfO2 films after PDA at 800 °C with and without electrode. ‘C’ represent peaks from cubic HfO2, whereas ‘m’ represents those of monoclinic phase. The cubic HfO2 is successfully formed by PDA only with the electrode cap. (©2008 IEEE, Reprinted, with permission, from [132])

These larger dielectric constant phases have band-gap energy similar to that of the monoclinic HfO2 [128, 132]. Even with the doping of rare earth elements, the band-gap energy does not decrease [24, 128]. Therefore, the gate stacks with these materials show drastic reduction of leakage current by about three orders of magnitude, compared with monoclinic HfO2 with similar EOT [127, 128].

A practical issue concerning the usage of tetragonal and cubic phase HfO2 materials as gate insulators is that subjecting them to the higher annealing temperature typical of LSI processes diminishes their dielectric constant. For all the cases mentioned above, low-temperature annealing (typically at 800 °C) was performed for the realization of the large dielectric constant phases. However, it was reported that annealing at higher temperatures such as 1,000 °C drastically reduced the dielectric constant of tetragonal HfO2 from 50 to 25 [132]. Yttrium-doped HfO2 also showed the decrease after 1,000 °C annealing [125]. This degradation phenomenon seems reasonable because the stable phase of HfO2 is monoclinic below 1,750 °C [124]. This tetragonal or cubic HfO2 is thought to be unstable at 1,000 °C. Therefore, higher-temperature annealing and longer annealing yield the stable monoclinic phase, leading to the reduction of the dielectric constant. Some measures for keeping the high dielectric constant phase even at 1,000 °C annealing should be developed for the application of this measure to advanced LSIs. The subject of phase modifiers in promoting the higher-k phases of the high-k oxides has been analyzed in detail in Chap. 10.

3.8 Summary

HfO2 is regarded as one of the most promising materials for the high-k gate dielectrics. This is mainly because this material possesses a large dielectric constant originating from a large polarizability of the Hf–O molecule, and large band-gap energy. These two characteristics lead to the dramatic reduction of the leakage current through the thin film of this material, compared to the conventional SiO2 gate dielectrics. Thermal stability is also required for the high-k materials in order to maintain the integrity of the MOSFET through the LSI processes. HfO2 satisfies this requirement, however severer process condition may cause some problems even with this material: anomalous silicide formation in the film may enhance the leakage current, enhanced interfacial layer growth may increase the equivalent oxide thickness, and dopant-impurity penetration through the film may cause threshold voltage fluctuation. Suppression of those issues is the incentive for the incorporation of other components such as SiO2 and Al2O3 into HfO2. The pseudo-alloy shows good characteristics to overcome most of those issues. Nitrogen incorporation is very effective for keeping homogeneous structure avoiding the phase separation of the pseudo-alloy. As HfO2 can have several phases, transformation of the monoclinic phase to the tetragonal and the cubic phases with larger dielectric constant has been pursued recently in order to further enhance the figure of merit of HfO2 as the gate dielectric.