12.1 Introduction

Several reviews on field emitters have already been published. One of the important ones is the book “Vacuum Microelectronics” edited by Wei Zhu in 2001 [1], which starts with a historical overview. The different approaches are addressed in detail, including Spindt field emitter arrays [2], and also a review of field emission theory written by Jensen [3]. There also exists an older review by Spindt et al. from 1992 [4], a review by Cade and Lee from 1990 [5], and a review by D. Temple addressing applications in more detail [6]. In the present book, field emission (=FE) of carbon emitters has already been addressed in Chap. 10 and the same authors have also written a review on field emission from different materials and their applications [7]. Therefore, this chapter will provide an update on FEAs (= field emitter arrays) and their performance after 2001, and also discuss problems associated with application in vacuum electron tubes.

It is well known that field emission from a small tip can yield very high current densities, yet from a very small emission area. Therefore, field emitters usually are well suited for high brightness and electron beam applications. FE happens at room temperature if the field strength is sufficiently high, and no heating of the emitter is needed. One of the main advantages of field emitters is low energy consumption. For FE to compete with thermionic emission, small beam currents are not sufficient; hence arrays of field emitters are needed to deliver higher currents for applications in vacuum tubes. Due to the breakthrough of microfabrication technology developed for large-scale integration of micron—and submicron solid state devices, there was a technology at hand which also could be used for vacuum microelectronic devices, especially for field emitter arrays. This was first realized by Cap Spindt at SRI in the group of Ken Shoulders in 1968 [1, 2]. This first Spindt cathode consisted of a multi-layer structure of Mo gate, SiO2 insulator, and Mo tips on a Si substrate prepared by thin-film deposition techniques. In the following years, methods and performance were continuously improved by C. Spindt and colleagues, also by an increase of the total number of emitters and hence an increase of total current which can be drawn from such arrays.

12.2 Spindt Field Emitter Arrays

12.2.1 History of Spindt Emitters

Already in the 1950s, Ken Shoulders and Dudley Buck at MIT had proposed to employ thin-film and micromachining techniques to fabricate integrated vacuum field effect devices of 100 nm sizes. Ken Shoulders started to realize these ideas later at SRI in 1958, with support from the US Department of Defense, and with the aid of Cap Spindt and his colleagues [1, 2]. C. Spindt developed the process for fabricating arrays of minute field emitter cones by using thin-film technology and electron beam microlithography, which soon showed first emission results. At 20 V between gate and cone, the onset of electron emission was detected and at 100 V, several µA of current could be drawn from these structures, first reported at the 1966 IEEE Conference on Tube Techniques [8, 9]. Shoulders left SRI in 1968 and the FEA work at SRI continued at a low level till 1973, when the group got support from the NASA Lewis Research Center under the direction of R. Forman. At the same time, Ivor Brodie joined SRI as director of the Applied Physics Laboratory, and thus the development work on FEAs could be taken up again. When in 1985 Robert Meyer and his group at LETI in France announced preliminary results with flat panel field emission displays (FEDs) using Spindt arrays [10], this triggered increased efforts worldwide. In 1987, Chris Holland of SRI reported the first three-color FED panel [11]. It was realized, that information exchange between the different groups was needed and hence the first International Vacuum Microelectronics Conference (IVMC, since 2004 IVNC = International Vacuum Nanoelectronics Conference) was organized in Williamsburg, Virginia, in 1988. The second IVMC took place in Bath in the UK in 1989 with over 160 delegates and 54 presentations, where most of the contributions dealt with the realization of structures or theory and only very few performance data were reported, as the first author noticed (he had presented the only thermionic cathode contribution [12]).

12.2.2 Fabrication Technology

12.2.2.1 The Original Spindt Process

The original (1928) Fowler–Nordheim (=FN) theory of electron emission from metals under intense electric fields predicted a relation of the emission current I (measured in A) for an applied voltage U (measured in V) of the form of (12.1) for a planar one-dimensional case under the assumption of a triangular barrier. In 1956, this was corrected and replaced (12.2, 12.3) by Murphy and Good, but still in the general form (12.1) and still a one-dimensional theory:

$$I = aU^{ 2} { \exp }\left( { - b/U} \right) ,$$
(12.1)

where a and b are parameters (also called Fowler–Nordheim parameters) approximately given by

$$a \cong 1. 5* 10^{ - 6} (\beta^{2} A_{E} /\phi )*{ \exp }( 10. 4 { }/ \, \surd \phi )$$
(12.2)
$$b \cong 6. 4 4* 10^{ 7} (\phi^{ 3/ 2} /\beta )$$
(12.3)

with AE the emitting area in cm2, \(\phi\) the cathode work function in eV, \(\beta\) the geometric voltage conversion factor in cm−1, which determines the electric field at the cathode as Fe = \(\beta\) U. In this context, the authors recommend it as good practice, not to use the same symbol for a physical quantity and its unit. Better modern approximations and theoretical formulations are discussed in Chaps. 8 and 9.

In order to obtain high currents at low voltages, the work function \(\phi\) should be low and AE and \(\beta\) should be high. \(\beta\) is approximately inversely proportional to the radius r of curvature of the tip, if r is much smaller than the distance D to the anode. Thus reducing the cathode to anode distance and minimizing the tip radius will increase the field strength at the tip [2, 3].

In the modified original Spindt process [2, 4, 8] first, a Mo layer was evaporated onto a sapphire substrate, then followed by an alumina layer and a second Mo layer. Polystyrene balls of 0.5 µm diameter were then sprayed onto the layer structure and over-coated with evaporated Al (see Fig. 12.1). After washing the balls away, Mo was chemically etched, using Al as a resist mask, forming holes into the Mo top-layer at the former position of the balls. An etch of the alumina layer, by using Mo as resist mask, then formed cavities below the holes. In a further step, Mo was evaporated from a vertical evaporator through the holes, whereas parallel a horizontal evaporator of alumina closed the holes, and cone-shaped deposits of Mo were formed. Finally, the liftoff surface layer of alumina was dissolved by phosphoric acid. In order to avoid dissolution of the insulating alumina layer, a temperature treatment at 1000 ℃ was introduced before cone deposition, so that the phosphoric acid had practically no effect on the hardened insulating alumina layer. A disadvantage of this initially used method is that the patterns with apertures and cones are random, including the distances between the emitter tips.

Fig. 12.1
figure 1

Copyright 2001 Wiley

The original process: a after first layer depositions, before washing and etching; b emitter cone deposition; according to Spindt et al. [2], reprinted with permission from chapter 4 of Vacuum Microelectronics, Wiley 2001

12.2.2.2 The Improved Process

Therefore, a new method of patterning by electron beam lithography was applied, forming a regular pattern of holes, and then followed by steps of cone formation as described above [13, 14].

This was not the only improvement in the modified new process. First silicon wafers were used as the substrate, where the conductivity could be adjusted in view of the application. Then silicon was oxidized to obtain the insulating layer. In the third step, the gate metal (Mo, Nb, Cr, Pt, or Ni) of typically 0.2 µm thickness was coated onto the oxide. Then the gate metal was coated with a photo—or electron sensitive resist, depending on the type of lithography chosen. Then the gate material was etched through the patterned resist. In the sixth step, the cavities in the insulating SiO2 below the holes were either achieved by wet or by dry reactive ion etching. Then, in steps seven and eight, vacuum deposition of the liftoff layer and of the cones followed as in the original process. Finally, rigorous rinsing, cleaning and baking, and storing in vacuum were carried out.

The final structure is schematically shown in Fig. 12.2.

Fig. 12.2
figure 2

Schematic diagram of a Spindt cathode, originally called thin-film field-emitter cathode, according to Spindt et al. [15]; reprinted from J. Appl. Phys. 47, 5248 (1976); with the permission of AIP Publishing

Three other techniques have been used for patterning submicron gate holes by other groups. In the first method, high-energy atomic size particles are used to bombard a photoresist. When developed, tiny holes of about 100 nm are produced in the resist in a random pattern. Thus very high emitter densities could be achieved by Candescent for FEDs [16]. The second method was used by the Korean group of Jong Duk Lee [17], which starts with patterning a silicon substrate with 2 µm diameter Si nitride disks, followed by thermal oxidation of Si till the desired insulation thickness is reached. The nitride is removed and the exposed silicon is etched to obtain the holes under the gates, with subsequent gate layer deposition. The following steps are the same as described above and thus aperture diameters of 0.5 µm are obtained. The third method by C. Bozler et al. from MIT Lincoln Lab [18] is using a standing wave laser interference pattern on a resist coated substrate. Thus, a very high cone/hole density of 109 holes/cm2 was achieved with a cesiated 900 tip array. A top current density of 1600 A/cm2 (total current 1.6 mA) was demonstrated by this group, but unfortunately, this caused burn out of the array. Of course Cs is lowering the work function (=WF), but emission also became unstable over time. In the end, it implies that one has to reduce the load in order to get stable conditions.

Spindt and coworkers have also described a process for forming volcano-shaped emitters, which show lower emission than cones, but have some advantages w.r.t. hollow beams when applied in mass spectrometers.

The general development directions of Spindt arrays are defined by the application requirements for commercial products: Nearly all applications need low operating voltages, higher current densities, very good emission uniformity, very good emission stability and reliability, and reasonable total current. This defines the further development trends, namely reduction of the gate diameter, increase of the packing density, and increase of the number of emitters of the total array, in some cases also increase of the total array area. It has to be mentioned that the smaller apertures as obtained by the three groups described above also need a lower commensurate insulating layer thickness of about the same value as the aperture diameter, which sets some limits for further reduction. In the direction of improvement trends also, an increase of the cone aspect ratio is favorable. This was done by SRI, e.g., by the multiple cone deposition process, where a second deposition is started after liftoff of the sacrificial layer of the first deposition. This approach has the second advantage that the oxide thickness can be preserved.

Also, the packing density and the gate aperture diameter are linked, since a minimum distance is needed between the holes in order to avoid arc failures propagating to the next neighbors. This distance is about twice the minimum aperture diameter achieved of 0.15 µm, i.e., 0.3 µm, realized by the MIT Lincoln Lab. [18].

It is already known that thermal heating improves the performance of etched-wire tungsten field-emitter cathodes. Temperatures of 2000 ℃ are typically used to smooth and clean the W tip surface, what can be applied for etched-wire tip arrays. However, due to different thermal expansion coefficients of the different layers in the Spindt array structure, thermal treatment temperatures are limited to 400 ℃ and are not high enough to anneal the Mo tips. Therefore, a formation process of applying high current pulses to heat the tips produces a similar effect. Based on this information, at SRI, annealing and smoothing of the single-tips of Spindt cathode arrays, together with a partial cleaning, was introduced by using 100 µs pulse currents in the 1 mA range [19].

Lowering the tip work function is also an improvement option. Hence, coatings on the Mo tips have been investigated. For example, a diamond-like carbon (=DLC) layer on the tip was reported by Jung et al., resulting in a 30% decrease of the required voltage [20]. Another approach consists of silicon FEAs as prepared by J. Itoh and his group in Japan [21], which will be addressed in a bit more detail later. Schwoebel at SRI investigated a single Spindt emitter tip coated with ZrC [2, 22]. After the deposition of 10 nm of ZrC onto the tip, the voltage for 10 µA emission decreased from 100 V to 73 V, due to the lower work function of ZrC (WF = 3.7 eV). Yet by coating with another 10 nm of ZrC emission deteriorated a bit, even further to the starting values by heating at 700 ℃, but improved again by running at 100 µA peak voltage. It has to be noted that all these emission experiments have been conducted in ultrahigh vacuum of 10−10 mbar. From this, we can learn that depending on cleaning procedures, temperature treatment, and surface migration, the emission from the tip can change a lot, and more stable conditions will be found at lower loads.

12.2.3 Spindt Emitter Performance

Let us now consider the emission performance of Spindt arrays in more detail, especially w.r.t. the application requirements.

12.2.3.1 General Emission Performance

Two different diode test configurations have been used by SRI when testing their Spindt-type FEAs: One was a close spaced diode of 0.5 mm distance between Nickel anode and Spindt array cathode. In this case, the Ni anode had to be carefully degassed before starting the emission tests. The other setup could be seen as a beam test setup, since a stainless steel cylindrical anode tube was used with an additional electron repeller at the remote end of the anode tube. In this case, the beam is spreading and then hitting the tube walls, thus the chances of back bombarding ions hitting the cathode(s) are reduced.

With carefully degassed anodes and ultrahigh vacuum of about 10−9 mbar, very good long-term stability could be obtained. This was, e.g., demonstrated by an array of 1000 Mo tips on a 0.01 Ω silicon substrate, driven at a peak emission level of 15 mA (corresponding to 15 µA per tip) with a continuous 60 Hz, half wave rectified 75 V peak driver voltage. An earlier life test with a 100 tip array under similar conditions, at an average emission of 20–50 µA per tip (50 µA per tip in the last year of the test), was running for 8 years before getting stopped by a pump failure [4, 23]. Of course, rather moderate conditions for the tips have been chosen in these experiments, in order to avoid stronger changes of the tip surfaces and the tip geometries over time.

Of course, the emission performance should be suitable for replacing thermionic cathodes in several application areas; here, we give an example for microwave applications. Figure 12.3 shows a Fowler–Nordheim plot of emission data per tip for a standard emitter array and a microwave array [24]. The averaged constants a and b of the FN formula are very similar for both. The measurements had been carried out at CPI with a standard array of 10,000 tips and a microwave array with 7300 tips. For microwave applications, very sharp tips had been produced with the double deposition process with 0.4 µm gate hole diameter, an oxide thickness of 1 µm, and 1 µm pitch on glass substrates [24, 25]. The cathode specifications for these applications required 160 mA peak emission and 10 GHz emission modulation from an annular emitter array having a 600 µm outer diameter and an inner diameter of 560 µm determined by trans-conductance and capacitance requirements. Experimental results were: an average array capacitance of 6 nF/cm2, a trans-conductance of 1 mS/tip, and emitter-tip loadings of 10 mA/tip. These microwave cathodes have been successfully modulated at 10 GHz rates in an experimental klystrode amplifier tube at CPI.

Fig. 12.3
figure 3

Copyright 1998 AVS

Fowler–Nordheim plot of emission data per tip for a standard emitter array (open squares) and a microwave array (black circles) [24]. The averaged constants a and b of the FN formula (1) are very similar: a = 7.33 × 10−7 A/V/tip and b = 612 V for the standard cathode and a = 7.23 × 10−7 A/V/tip and b = 615 V for the microwave array; according to Spindt et al. [24]; reprinted with permission from J. Vac. Sci. Technol. B 16, 758–761 (1998);

In Fig. 12.4, a Fowler–Nordheim plot of a 5000 cone Spindt array at a collector voltage of 1000 V is shown. The deviation from the FN line above typical current densities of 5A/cm2 or 10 µA per tip is due to space charge effects which counteract the electric field produced by the gate voltage. Higher collector voltages can reduce this effect a bit, because of the superposition of the two applied fields and the resultant improvement of electron exhaustion from the space charge cloud. Yet besides the limits set by avoiding arcing, this further reduces the emission capability of the tips. In this case, here a top value of 12 A/cm2 was reached.

Fig. 12.4
figure 4

Fowler–Nordheim plot of a 5000 cone Spindt cathode array at a collector voltage of 1000 V. The values were measured at different gate voltages, which is the dominant applied voltage for electron field emission; based on [2628]

12.2.3.2 Thermal/Field Forming of Emitter Tips and Initial Treatment

Thermal/field forming of emitter tips is already well known from classic etched-wire field emitters since the 1930s and has been investigated by Herring [1, 29], Benjamin and Jenkins [30], and others [1]. C. Herring in his textbook “Structures and Properties of Solid Surfaces” [29] derived a well-known formula in 1953 for the time rate change of the tip length ϑz/ϑt due to the diffusion of the surface atoms (decreasing length z in the positive direction of emitter-tip height). In the modern “International System of Quantities” (ISQ) that forms the basis for SI units, this takes the form:

$$\vartheta z \, / \, \vartheta t = \, \{ 2\surd 2 V_{at}^{2} D \, / \, \left( {A_{at} kT \, r} \right)\} \, *(\gamma / \, r^{2} {-}\varepsilon_{0} F_{e}^{2} /{ 2})$$
(12.4)

If the difference in the last bracket is negative, then tip buildup or sharpening occurs.

Here Aat is the surface area per atom in cm2, k is Boltzmann’s constant [ergs per atom/K], T is the temperature in Kelvin, Vat is the atomic volume in cm3, D is the surface diffusion coefficient in cm2/s, r is the tip radius in cm, γ is the surface tension in ergs/cm2, ε0 is vacuum electric permittivity, and Fe is the electric field in V/cm.

According to Gomer [31], Fe ≈ U/5r. One should note that tip forming is not dependent on the direction of the electric field, due to its square dependence; hence by using a retarding field, flashovers can be avoided. Instead of sharpening to increase emission, dulling can be used for achieving better uniformity. In Fig. 12.5, the effect of seasoning is shown for two different types of emitter tip arrays with 10,000 emitter tips, one with tip radii of about 25 nm and one with 120 nm.

Fig. 12.5
figure 5

Copyright 2001 Wiley

Current–voltage characteristics for two 10,000-tip arrays, one with tip radii ≈ 25 nm and the other with tip radii ≈ 120 nm, showing radius-dependent tip-buildup-like “seasoning” that has been observed as the result of driving the cathodes to emission levels that heat the anodes to 800–900 ℃; according to Spindt et al. [2]; reprinted with permission from chapter 4 of Vacuum Microelectronics, Wiley 2001

In total, six emitter arrays of each shape were tested, with separate anodes biased at +1200 V. 10 mA of peak emission were drawn with 60 Hz half wave rectified drive voltage. The tips with small radii initially needed 70 V drive voltage, whereas the tips with larger radii needed 150 V. Seasoning was then carried out by electron bombardment of the anodes, to achieve temperatures of 800–900 ℃, and by heating the tips by thermal radiation. Within 5 min, the drive voltage of the smaller tip radii arrays reduced to 40 V, whereas the drive voltage of the larger tip radii arrays remained stable. This can be explained by formula (12.4) where in the latter case, the terms in the last brackets compensate each other [2]. This implies that for a tip radius of 25 nm, the first term is dominating. Yet one should keep in mind that due to Fe ≈ U/5r, the dependence of both terms on r is the same, which shifts the explanation more to the geometrical effect, that changes in the geometry of a tip with a larger radius of curvature will take much longer, if atomic rearrangements happen with about the same velocity.

Apart from this seasoning, the initial treatment of the emitter tips before the longer operation can become decisive for the following performance. After manufacturing the tip arrays, cleaning of the surfaces is usually needed. This cannot be done as for tungsten tips by flash heating them at 1500 ℃, since this would destroy the structure. It could be shown that heating for 48 h at 400–450 ℃, followed by careful first turn-on, and then burn-in is a way to process Spindt arrays before the long-term operation. A similar effect can be achieved via in situ tip self-heating by the emitted electron current for improvement of uniformity [92, 93]. Here Spindt and colleagues found a faster alternative, namely by cleaning the surfaces with a hydrogen plasma for about 2 min duration [23, 91]. This was triggered by the observation that operation in a high vacuum consisting of hydrogen improved emission. A further treatment with hydrogen +10% neon plasma can lead to some further improvement and provides additional sputtering, which can be seen in a field electron micrograph as an increase in the emitting area. Thus, the values improved from {I = 1 µA, U = 175 V} to {I = 1 µA, U = 133 V} with H2 plasma, but did not increase with a further H2 + Ne plasma treatment {I = 1 µA, U = 136 V}.

12.2.3.3 Integrated Resistance for Buffering and Stabilization of Emission

The initial start of emission can lead to a sudden cathode failure by arcing, due to the pressure rise by desorption of contaminants from the tip, accompanied by a lowering of the work function. This is shown in Fig. 12.6 [15]. It illustrates the problem of tip disruption, here of a single cone in a 5000 tip Spindt array, by excessive current drawing [4]. Similar photos can be found in the paper of Forman [28]. The researchers at SRI found that placing Mo emitter arrays on high resistivity silicon substrates (200–500 Ohm cm) reduces this risk substantially [2]. Also, the emission uniformity over larger areas is strongly improved. However, these positive effects are accompanied by additional series resistance and hence heating, and also by an increased energy spread of the emitted electrons. Of course, after initial turn-on, the resistance of the Si substrate can be reduced by subsequent heating of the substrate.

Fig. 12.6
figure 6

Disruption of a single cone in a 5000 tip Spindt array; according to Spindt et al. [15]; reprinted from J. Appl. Phys. 47, 5248 (1976); with the permission of AIP Publishing

12.2.3.4 Emission Fluctuations and Noise

There are three sources of short-term emission fluctuations of field emitters, namely shot noise, bi-stable “telegraph noise”, and random fluctuations [2]. Shot noise is always present and dominates at frequencies f above 100 kHz; it is due to the discrete nature of the electrons. Bi-stable noise is a series of positive or negative pulses above a base level, e.g., in the order of several percents, and is due to changes between (two) different surface states of the emitter. As a result of the integration of a large number of emitters in arrays, one obtains flicker noise proportional to 1/fx with 0.5 < x < 2.

Heating to desorb adsorbates did not give the desired reduction of the flicker noise. Yet it could be shown that it is temperature dependent and is reduced at a lower temperature. A better solution was high-frequency pulsing, which reduced flicker noise by a factor of 10.

12.2.3.5 Emission Poisoning by Gas Environment

Field emission is also influenced by the rest gas environment of the emitter due to the absorption of poisoning gases on the surface or eventually chemical reactions with them, due to gas desorption from the anode or to back bombardment of the surface by ionized gas molecules or atoms.

In Fig. 12.7, we see the effect of leaking air into the vacuum vessel from a base pressure of 1 × 10−9 mbar up to 1 × 10−5 mbar. We can see that emission of a Mo tip decreases by a factor of 10, but recovers fast after shutting the leakage valve [23, 26]. Chalamala and Gnade [32] have shown that the poisoning effect of air is mainly due to oxygen poisoning and increases with oxygen pressure, as pointed out by Temple [6].

Fig. 12.7
figure 7

Emission current with a constant applied voltage at a pressure of 1.3 × 10−9 mbar and at 1.3 × 10−5 mbar of laboratory air introduced through a leak valve; based on Spindt et al. [23, 26]

Another situation arises when 10−6 mbar H2 is introduced into the system. In this case, emission can increase up to a factor of 20, but decreases again when the leakage valve is shut and H2 is pumped out [23] (see Fig. 12.8). This increase of emission can be explained by a lowering of the work function by H2, an effect contrary to the usual poisoning by other gases. With noble gases, instead of H2 or air, practically no change of emission happened. In contrast to these reversible effects, an operation in environments containing organics has to be avoided, because built-up of organic material at or near the tip can happen, leading to an early blow out or discharge. In these cases, a periodic temperature or hydrogen plasma treatment is needed to clean the surfaces again.

Fig. 12.8
figure 8

Emission current with a constant applied voltage at a pressure of 1.3 × 10−9 mbar and at 1.3 × 10−6 mbar of hydrogen introduced through a leak valve; based on Spindt et al. [23]

12.2.3.6 Further Measures to Improve the Emission Performance

In the chapter on fabrication technology, we have already discussed several measures to optimize the performance design of Spindt emitter arrays, among these structural factors such as the increase of tip packing density and the increase of emitter sharpness. Also, the influence of the emitter material and surface work function was addressed. In this paragraph, measures for improvement of uniformity and reliability have been presented, such as heating, seasoning, field forming, plasma treatment, and resistance buffering. Also, the rest gas environment in the vacuum chamber can play a decisive role. Here, we will now concentrate on the performance improvement by lowering the work function of the tip. At SRI, experiments had been conducted by over-coating the Mo tips (Mo: WF = 4.3 eV) with a thin layer of either Zr (WF = 4.0 eV), Ti (WH = 3.9 eV), and Hf (WF = 3.8 eV) [33]. The results for Zr and Ti are shown in Figs. 12.9 and 12.10.

Fig. 12.9
figure 9

Copyright 1995 American Vacuum Society

Fowler–Nordheim plots showing the effect of depositing Ti on one 100-tip array and Zr on another 100-tip array. In both cases, the arrays were plasma cleaned before deposition. Line A: FN data of a 100-tip array before and line B after Ti deposition. Line C: FN data of another 100-tip array before (line C) and after Zr deposition (line D); according to Schwoebel et al. [33]; reprinted with permission from J. Vac. Sci. Technol. B 113, 338 (1995)

Over-coating Spindt-type field-emitter-array cathodes with several monolayers of Ti, Zr, or Hf leads to a decrease in the voltage for the same emission current by 30–40%. This change is entirely ascribable to a 1 eV decrease in surface work function and an increase by a factor of 10–100 in the pre-exponential term of the Fowler–Nordheim relation. The post-deposition current—voltage characteristics have been observed to remain essentially unchanged for periods of greater than 100 h at current levels of 10 mA/tip.

It should be noticed that the strongest change of slope, which is dependent on the work function, happened after the removal of surface contaminants by the plasma treatment (see Fig. 12.10) and is in line with an increase of about 0.6 eV. After coating with Ti or Zr, the changes in slope are minor, corresponding to changes in the work function in the order of 0.1 eV consistent with the average work function data. Yet the major changes occur in the pre-exponential terms of the FN equation, which is not due to an increase in the emitting area and cannot be easily explained. Schwoebel et al. in [33] give the following explanation: They attribute this change to an increased electron supply function due to the IVB elements, and at least in part due to an increase in the surface density of states present at the Fermi level. Hence, material effects can introduce performance changes that were not directly anticipated.

Fig. 12.10
figure 10

Copyright 1995 American Vacuum Society

Fowler–Nordheim plot showing the effect of Ti deposition on a single micro-fabricated field-emitter tip where plasma cleaning was employed prior to deposition. Line A: FN data of the tip as fabricated. Line B: FN data following H2 + 10% Ne plasma treatment. Line C: FN data following the deposition of Ti onto the emitter tip; according to Schwoebel et al. [33]; reprinted with permission from J. Vac. Sci. Technol. B 13, 338 (1995);

12.2.4 Performance Improvement Over Time in the Last Four Decades

In the initial phase, the performance improvement was triggered by the need to overcome inherent problems of field emitter arrays mainly w.r.t. uniformity and stability. In the 1980s and 1990s then, the application requirements determined the improvements, which were oriented to increased packing density and larger total currents/increased area, but also to low capacitance and high trans-conductance for high-frequency applications. This is due to the fact that the operation of a FEA in a high-frequency circuit is limited by the cutoff frequency ft given by [34]

$$f_{t} = \, g_{m} /({ 2 \pi \text{ }}c_{g})$$
(12.5)

where gm is the trans-conductance (Δla / ΔVg), and cg is the capacitance of the device. Hence for an increase of the cutoff frequency, the trans-conductance has to be increased as far as possible and the inter-electrode capacitance has to be decreased, as realized by Spindt and colleagues in [2426].

In Table 12.1, an overview of the performance increase over time with respect to current density and total current is shown, which is also the base for the diagram in Fig. 12.15. The table entries are organized in historical sequence, see last but one column. The highest tip current from a single tip was achieved by G. van Veen of Philips with 850 µA at 205 V in 1993 [35]. The highest total current with a 50,000 tip array was achieved in 2005, which is also shown in Fig. 12.11. In this case, a 100 µs, 30 Hz pulse was used to drive to the array and the emission current was slowly increased to 300 mA. Above 50 V, we see a strong deviation between the values calculated from the Fowler–Nordheim plot coefficients obtained below 50 V, which according to the authors [36] are mainly due to the resistance of the Si chip, which has an effective series resistance of 300 \(\Upomega\). The series resistance is necessary to avoid arcing and get more uniform emission, but when it is further reduced, then space charge limitation will take over. Yet a further increase in total current is expected by adjusting the series resistance.

Table 12.1 Development of the performance of Spindt FEAs; the figure of merit is the total dc current drawn. The values with ** are pulsed, 6 pulses per sec; * = 60 Hz half wave rectified; ° 30 Hz pulses of 100 µs duration; “ = 4 ms per data point
Fig. 12.11
figure 11

Copyright 2005 AVS

Measured and calculated I-V characteristics of a 50,000 tip Spindt cathode after high current pulsing; the measured deviation is caused by resistance-dependent reduction of the applied voltage and the space charge effect of the emitted electrons at higher emission current; according to Schwoebel et al. [19]; reprinted with permission from J. Vac. Sci. Technol. B 23/2, 691 (2005)

In Fig. 12.12a–c, we see scanning electron micrographs (=SEM) of some newer variants of Spindt arrays. In Fig. 12.12b, we see very sharp tips, where the oxide thickness has been doubled w.r.t. the gate hole diameter. In Fig. 12.12c, Si3N4 has been used as an insulator instead of SiO2. Judging from the higher voltages in Table 12.1 [36], the Si nitride thickness must probably be larger than 1.5 µm.

Fig. 12.12 a
figure 12

SEM micrograph of a section of a Spindt array: gate hole diameter 1.5 µm, Mo tip distance 2.5 µm; the FEA tip density is 1 tip/4 µm2; Courtesy of Spindt, see [37], page 456, Springer 2008

Fig. 12.12 b
figure 13

SEM micrograph of a cross section of a Spindt array cathode: gate aperture diameter 0.8 µm, Mo tip distance 4.1 µm, and gate-to-base oxide thickness of 1.75 µm; according to Schwoebel et al. [38]; reprinted with permission from J. Vac. Sci. Technol. B 21, 433 (2003) Copyright 2003 American Vacuum Society

Fig. 12.12 c
figure 14

SEM image of a portion of a 100-tip Spindt cathode. The gate is Cr, the insulating layer below is silicon nitride, and the tips are molybdenum. The tip pitch is 6 µm, and the array is in a hexagonal close-packed configuration; according to Spindt et al. [36]; reprinted with permission from J. Vac. Sci. Technol. B 33, 03C108-1 (2015); Copyright 2015 American Vacuum Society

12.2.5 Applications of Spindt Arrays

Single tip field emitters are of course very well suited for high brightness electron beam applications, as has been shown by P. Kruit in Chap. 6. This is also true for small field emitter arrays. An interesting variant was introduced by A. Mustonen, S. Tsujino et al. by realizing nano-arrays of 170 nm tip height and 750 nm pitch on an area of 0.2 mm2 containing about 3.6 × 105 emitter tips [39]. They reached 170 µA, i.e., a current density of 0.085 A/cm2, at −65 V gate voltage.

Initially, the main motivation for FEA research was applications in high-frequency tubes, where they should replace thermionic cathodes, and later in field emitter displays (FEDs) [40, 41]. There are several publications of C. Spindt and colleagues on applications in microwave tubes [2426, 34, 39, 42, 94]. In the review article by Murphy and Codis [43], the advantages of FEAs for microwave amplifiers compared to thermionic cathodes are outlined, together with the advantages over solid state amplifiers. Compared to thermionic cathodes for electron beam modulation, much smaller transit times, much higher cutoff frequencies, and much smaller modulation voltages are needed mainly due to the much smaller dimensions of FEAs. Also, no heating power is consumed. In comparison with vacuum electron devices (VEDs), in solid state devices the electron velocity is limited, the breakdown voltages are much lower, and heat cannot be so easily dissipated as in VEDs, which is also pointed out by Gaertner in [44]. A klystrode designed by CPI was intended to provide an output power of 50 W at 10 GHz using a gated FEA cathode. It required a peak current of 112 mA from a ring cathode with inner and outer diameters of 550 and 610 μm, respectively [45]. As an initial test, a FEA-TWT was realized at 1.5 GHz with a maximum current of 91.3 mA and output power of 55 W [45]. In 2009, B. Levush et al. reported a 100 W FEA-TWT produced by L3 Communications Electron Devices, operating at 5 GHz with a maximum beam current of 120 mA, with 22 dB saturated gain, and 33 dB small signal gain [46]. Yet after these prototypes, commercial tubes based on FEAs are rare and are limited in power to <200 W. Microwave tubes with thermionic cathodes continue to be dominant in high-frequency and high-power applications; this is due to the fact that for most tubes, the power is proportional to the electron emission current, which can be much higher for thermionic cathodes compared to Spindt arrays.

The second application of FEAs with a lot of research efforts has been in flat panel field emitter displays (FEDs), as described by Busta [41]. FEDs have been investigated as a vacuum electron tube alternative to the classical bulky cathode ray tube. They have competed with other flat panel concepts, especially TFT LCDs, plasma panels, electroluminescent displays, and OLED displays. We know now that LCDs have won the race, maybe with the perspective that OLED displays will be the high-end solution. Yet for a certain time, it was unclear which technology would win. This is due to the fact that FEDs can exhibit high brightness, have a large viewing angle, a high contrast ratio, a high lumen efficacy, and low power consumption (see Table 7.1 in [41]). In view of the sum of these advantages, an explanation is needed why FEDs did not win, apart from production cost reasons or time delays w.r.t. the other technologies. One reason was that changing from a low surface to volume ratio CRT technology to a high surface to volume ratio, flat vacuum devices introduced tremendous problems, such as spacer breakdown, flash overs, getter application problems, non-uniformity of emitters, and others. In principle, the FED is a flat and thin tube, with a glass front plate with the phosphor pixels and a glass back plate with a patterned resistor layer, the gate dielectric and the gate layer, formed in column lines, with the gate holes and the tips in the holes formed below. For obtaining uniform emission, it is desirable to fabricate as many emitters per subpixel as possible (ranging from hundreds to several thousands). An inherent problem of the FEAs is the non-uniformity of field emission within one subpixel and over the subpixels, which made the resistor buffering necessary. The inherent noise of field emitters had to be reduced by using at least 3000 tips per subpixel [47]. Due to the lateral velocities of the electrons, additional focusing had to be introduced by a second gate. Basically, emitter lifetime was not an issue, since for 10,000 h FED life, only 20 h FEA life was needed [44]. However, during tube life, particles, degassing and electron bombardment of the surfaces created problems, which also influenced the FEA behavior [47]. In the end, the FED activities were not successful and not competitive, besides producing prototypes; the two pioneering companies Candescent and Pixtech shut down in 2001 and 2002. Also, FED activities in East-Asia could not compete with LCDs and plasma panels [48].

More in the line of beam applications is the use of Spindt arrays for X-ray tubes. In an initial study, P. Schwoebel already investigated the performance of an individual X-ray element that would be arrayed to form a stationary source [42] based on Spindt cathodes. In recent studies in 2015 of Schwoebel et al. [49], the stationary source is comprised of a linear array of 10 individual X-ray source elements. It is used to investigate stationary source—stationary detector tomo-synthesis, which can be used for human breast and small animal imaging. The X-ray source elements each consist of a field emission cathode array, an electrostatic lens, and the target, the last two common to all elements. The source elements form X-ray focal spots with minimum diameters of 0.3–0.4 mm at electron beam currents of up to 40 mA with a beam voltage of 40 kV. Each emitter element comprises 50,000 tip Spindt cathode arrays on a 1 mm diameter area, which deliver at least 10 mA total current (density 1.25 A/cm2). The initial conditioning of the cathodes comprised 2–3 days prequalification with a slow current increase and 1 day conditioning including baking of the source. Some elements had to be replaced due to failures. Typically, current pulses of 1 ms duration and 1 Hz repetition rate were used for testing. The whole operation test lasted 200 h. Different voltages were needed to achieve 100 mA from each element and were adjusted by the source controller. With this prototype, the feasibility of this approach was demonstrated, since 100 mA is needed for small animal and breast tomo-synthesis. It should be noted that the X-ray flux is directly proportional to the electron current impinging on the anode.

Besides linear arrays of Ba dispenser cathodes, also other field emitter types such as CNT arrays have been used for X-ray applications ([50, 51], see also Chap. 5 of this book). We will comment on that in the next section.

12.3 Other Field Emitter Arrays

12.3.1 Si Field Emitter Arrays

In Chap. 5 of the book of Zhu [1], a detailed description of the fabrication and the performance of Si based field emitter arrays is given by Shaw and Itoh [21]. In this variant, the semiconductor Si was chosen mainly due to the highly developed IC fabrication techniques based on Si. Si FEAs can show I/U characteristics different from metal tip FEAs and the current per tip only reaches 10 µA, at best. The total current is not proportional to the number of tips and also the yield of well emitting tips per array may be quite low [52, 53]. Results for p-type Si tip arrays are shown in Table 12.2. The gate consisted of Nb of 0.3 µm thickness with a gate opening of 1.6 µm. Double insulation layers of 0.3 µm-thick thermally oxidized SiO2 and 0.4 µm-thick vacuum-evaporated SiOx were placed between the gate and the Si substrate with a resistivity of 100 Ω cm. The pitch between the tips was 10 µm. It has to be noted that the total gate area for 1–100 tips was the same, namely 0.01 mm2, different from the effective emitter area given in Table 12.2 based on the pitch (rows 2,3, and 4), but only for 1 and for 10 tips no saturation occurred. By the evaluation of individual tip emission in Si field emitter arrays via an electrostatic lens projector, it was found that for n-type, the number of emitting tips remained constant with increasing current, whereas for p-type, the number increased with current, especially during C2H4 exposure [54].

Table 12.2 Performance of (p and n doped) Si tip arrays

Emitters are fabricated as p or n doped Si tips by a conventional fabrication process (described in more detail in [55]) based on reactive ion etching (=RIE), thermal oxidation sharpening, and self-aligned formation of gates [52, 55] as shown schematically in Fig. 12.13. The main differences from the metal tip Spindt arrays are the tips are semiconducting, the tips are formed by etching instead of e-beam deposition (Spindt process), and they are sharper. The emission current from n-type Si arrays is much more noisy than from p-type arrays [55]. Yet, with heavily phosphorus doped n-type tip arrays, an increase in emission current to 0.14 µA was reached, and also n-type emitters do not saturate in the way p-type do. In the case of p-type Si emitters, the emission current shows a saturation tendency in the FN plots. This behavior can be explained by a model where the supply of electrons from the depletion layers near emitter tips and from the inversion layers under the gate electrodes limits the emission current. The p-type saturation can be partially overcome by shining light on the tips. A somewhat different explanation is given in [57].

Fig. 12.13
figure 15

Copyright 2000 American Vacuum Society

Schematic preparation sequence of Si FEAs according to Itoh et al. [57]; reprinted with permission from J. Vac. Sci. Technol. B 18, 1111 (2000)

Below saturation Si FEAs also follow the Fowler–Nordheim equation (12.112.3) in the Murphy–Good form, but the work function in the formula has to be replaced by electron affinity \(\chi\) minus band bending \(\delta : \,\phi = \chi - \delta\) [21].

Fowler–Nordheim plots of n-type Si field emitter arrays with1000 tips are shown in Fig. 12.14 [55]. The ‘‘n+/n’’ is the FEA with a heavily ion (phosphorus) doped n-type surface (compare line 5 in Table 12.2).

Fig. 12.14
figure 16

Copyright 1996 AVS

FN plots of n-type Si field emitter arrays with1000 tips; the ‘‘n+/n’’ is the FEA with a heavily doped n-type surface; compare line 5 in Table 12.2; according to Itoh et al. [55]; reprinted with permission from J. Vac. Sci. Technol. B 14, 1885 (1996)

Significantly higher emission values have been obtained by D. Temple and her colleagues for polycrystalline Si tip FEAs, which are listed in the last two lines of Table 12.2. For polycrystalline Si FEAs with 3255 tips, they obtained 4.5 mA dc emission or a current density of about 1.7 A/cm2. With an array of 28,074 tips they reached at best 21.5 mA or a current density of about 2.1 A/cm2 [21, 56, 58].

Therefore, in 1998, J. Itoh et al. introduced FEAs with field effect transistors (=FETs) in series—instead of resistors—which show increased stability and uniformity [5961]. This was first described for 1 tip + MOSFET in [56, 58] and then extended by J. Itoh and group to 100 tips surrounded by a ring-shaped MOSFET gate electrode. They achieved 7 µA of total emission from an area of about 180 µm2 with a gate voltage of 80 V [61].

Further variants of Si tip arrays were arrays spin-coated with a resist and baked at 800 ℃ in vacuum to evaporate the solvent. They achieved 54 µA with the coated array versus 44 µA with the non-coated FEA with 100 tips at 65 V gate voltage [62].

Typical applications for these FEAs are cold cathodes replacing thermionic cathodes in pressure gauges [21] or in mass spectrometers [21], which avoid heating effects and degassing caused by the latter. They have also been investigated for applications in vacuum magnetic field sensors [63] or for applications in field emission displays [61, 64] and in microwave tubes, especially klystrodes. Here, they compete with Spindt arrays.

In general, from a comparison of Table 12.2 with Table 12.1, it can be seen that the semiconducting FEAs cannot really compete with the metal FEAs w.r.t. total emission current and current per tip and hence have a handicap concerning higher total current applications. Yet they have the advantage that they can be easily combined with ICs for tip emission control or, e.g., pixel control in FEDs.

12.3.2 Carbon Nano Tube (CNT) Arrays

In the 1990s, different groups started to investigate the preparation of CNTs via screen printing or PCVD with Fe, Ni catalyst nanoparticles for FE applications. Since a single wall CNT (SWCNT) and multiwall CNT (MWCNT) are limited in total current, arrays of single CNTs, lawns of CNTs extending over a certain area, and additional dot arrays have been prepared and tested. Preparation and emission from carbon nano tubes have already been addressed in Chap. 10 by N. Egorov and E. Sheshin. In this context, we will neither address the current carrying capacity (>109 A/cm2) of single multiwall carbon nanotubes (=MWCNTs) as in the paper of Wei et al. [65], nor the thermionic emission of heated carbon filaments. Some representative performance results are listed in the following Table 12.3, which does not claim to give a complete overview, and will appear in the plot of Fig. 12.18.

Table 12.3 Performance of CNT emitters and CNT dot arrays

Niels de Jonge from Philips was the first (in 2001) to succeed in mounting single MWNTs on tungsten tips and determine their emission [66, 74]. The best result is shown in row one of Table 12.3. It has to be mentioned that a lifetime criterion was not yet applied in obtaining this table. It is expected that for an operational life of 100–1000 h, some of these emission current values will be lower.

A little later (2004), W. Milne et al. presented a Spindt-type FEA with a pure single MWCNT as field-emitter cathode, as shown in Fig. 12.15a [75]. The advantage over classical Spindt-type cathodes with cone-like emitter is that MWCNTs have lower emitter radii <20 nm and consequently more efficient field electron emission. Figure 12.15b shows also a Spindt-type FEA with arrayed MWCNTs as field-emitter cathode [76]. This kind of emitter growth is strongly dependent on the size and distance of the Fe or Ni catalyst nanoparticles. The most evident advantage is the increase of the emitter-tip number per hole of the Spindt-type FEA, enhancing the long-term stability and probably also the FE cathode lifetime. But on the other hand, the field enhancement of arrayed MWCNTs is reduced. The reason for this is the so-called “screening effect” of closely standing CNTs (also called electrostatic depolarization, see Chap. 9). The screening effect of large-arrayed CNT emitter films in electrostatic fields was investigated by Nilsson [77], qualitatively but also quantitatively by simulation and using the measured electron emission data. The results are summarized in Fig. 12.16.

Fig. 12.15
figure 17

Copyright 2004 Royal Society of Chemistry

Spindt-type FEAs with CNT field-emitter cathodes: a single MWCNT [75] and b arrayed MWCNTs, according to Milne et al. [75]; with permission from J. Mater. Chem.14, 933–943 (2004)

Fig. 12.16
figure 18

(a) In the 3 diagrams of figure (a) on the right side the simulation results of the equipotential lines of the electrostatic field are shown for CNTs of 1 µm height and 2 nm radius (field enhancement factor ß ~ h/r = 500), for the distance between neighboring CNTs of 4, 1, and 0.5 µm; along with the corresponding changes in diagram (b) (upper left side) of the field enhancement factor ß and emitter density, and (c) FE current density as a function of the distance between neighboring CNT emitters (diagram at the lower left side); figures (ac) are from Nilsson et al. [77]; reprinted from Appl. Phys. Lett. 76, 2071–2073 (2000); with the permission of AIP Publishing

One rule of thumb is that the optimal distance d between neighboring CNT emitters must be in the range of double CNT height (d ~ 2hCNT). Only then will the field enhancement factor ß of arrayed CNT emitters be comparable to a stand-alone, single CNT emitter with the same CNT height. This means that FEAs with CNT emitter films should be structured, as, e.g., shown in Fig. 12.17 with CNT dots. In Sect. 9.7.2 “Auxiliary parameters for ideal large-area field electron emitters” of this book, further theoretical explanations and modified FE equations are given for large-area field emitters (LAFEs) by R. Forbes.

Fig. 12.17
figure 19

SEM micrograph of array of CNT dots; from [68], Z. Chen, P.K. Bachmann et al., “Fabrication and characterization of carbon nanotube arrays using sandwich catalyst stacks”, Carbon 44, 225–230 (2006); with permission from Elsevier

Regular arrays of single or several carbon nanotubes/nano-fibers per dot were prepared by Teo et al. [78] in 2003 by plasma enhanced CVD (=PECVD) growth on base arrays of Ni seed nanoparticles. Usually CNTs obtained by screen printing or CVD are not preferentially aligned, but more of a spaghetti type. P. K. Bachmann and Z. Chen et al. succeeded in preparing vertically aligned dots of CNTs in arrays by the PECVD sandwich method between two silicon wafers, where the top one was taken off after deposition [67, 68, 79]. An example of such a structure is shown in Fig. 12.17. The emission results are listed in rows 2–5 of Table 12.3. The best result from an array of 106 dots on an area of 25 mm2 was 20 mA, stable over 20 h. The highest emission data were obtained in X-ray tube applications, as can be seen from the last two rows of the above table [72, 73] and also from the results of Z. Chen, P.K. Bachmann et al. from 2008 [69] aimed at this application. Their top pulsed emission was 100 mA [70]. Ryu et al. [73] prepared cone-shaped bundles with a sharp tip and a 3 µm diameter base 15 µm apart on an elliptical area and reached 90 mA dc emission.

Another alternative, in view of field (or electrostatic) shielding, is the use of irregular or chaotic carbon-based structures with sufficient distance between emitting spots, as presented in Chap. 10. An example is carbon (MWCNT) buckypaper, proposed by Knapp [80, 81]. With electron emission in the mA range, it nicely fits into the diagram of Fig. 12.18, but we will not discuss it further in this context.

Fig. 12.18
figure 20

Plot of field emission (cold emission) current density versus emitter area (including passive parts) based on literature data for very sharp W tips, Spindt arrays, CNTs and CNT dot arrays, Si FEAs, and pn emitters according to this chapter (see tables) and [44]. Lines of equal current are shown for 10 µA, 1 mA, and 100 mA. Direction of improvement is to higher current! Copyright Georg Gaertner, Aachen, Germany, 2018

An overview of CNT emitter based X-ray tubes was given by R. Parmee et al. in 2014 and 2016 [82, 83]. Despite good prospects for multi-pixel and miniature X-ray sources, in 2014, there were only some niche applications of X-ray tube device based on CNTs on the market. These are mainly products of Oxford instruments, such as the Eclipse II, a battery-operated miniature tube, and the Horizon 600, source of a miniature XRF spectrometer for space missions [83, 84]. Xintek Inc. is selling a distributed CNT tomo-synthesis unit consisting of 31 individually addressable X-ray sources enclosed in one vacuum chamber [83]. Yet in general thermionic cathodes are still dominant in X-ray tubes.

There have been many efforts to realize field emission displays (FEDs) with gated CNT array cathodes, first by Motorola in 2006 [85], then by Sony and others, but nearly all the activities stopped before 2010. Typically the current per pixel is lower than for other applications. Various CNT-FED prototypes have shown significant promise, but in the end, were not commercially competitive compared to TFT LCDs and OLED displays and did not become commercial products.

Other applications are microwave tubes or, e.g., gas ionization sensors, where also prototypes have been built, but there is still no real commercial impact.

12.4 General Evaluation and Conclusions

We have seen that field emitters have become an interesting area of cathode research, due to the availability of semiconductor-industry-based IC technology, to the miniaturization and structuring of emitters to the µm and nm range, and to the much lower power consumption. They have a lot of advantages for high brightness electron beams.

Despite the general motivation to try to replace thermionic cathodes by cold cathodes, a general trend of improvement over time cannot be shown in a plot of current density versus time, since this is not the measure of their applicability. FEs already exhibit current densities much higher than thermionic cathodes, but the current mainly originates from very small emitting areas. Hence, natural applications for field emission cathodes are electron beam devices, where low total currents are sufficient. For other applications requiring higher currents and larger cathode areas, practical measures of improvement over time are the increase of emitting area by using bunches or arrays of emitters, and especially the increase of stable dc current from large-area emitter arrays. A graphical overview of the most important cold cathode types, including field emitter arrays, is given in Fig. 12.18 in a plot of emission current density versus emitting area, in this form first given by Gaertner in 2012 [44]. The updated diagram is based on literature data for very sharp W tips (see Fursey [86]), Spindt arrays, CNTs and CNT dot arrays, Si FEAs, and pn emitters [87] according to this chapter and [44]. Lines of equal current are shown for 10 µA, 1 mA, and 100 mA. Here, the improvement direction over time is given in trajectories more perpendicular to the 1 mA line in direction of higher (dc) currents. One can also see an improvement tendency from semiconducting Si to metallic CNTs to metal tip arrays. Of course, thermionic cathodes can also be shown in such a diagram, but their domain is to the lower right, extending to cathode areas of 100 cm2 or more.

All FEA applications with higher currents are also located preferentially in the lower right with increased cathode areas, since higher currents are accompanied by higher thermal loads and there will also be heating of the field emitter arrays. Another limit is imposed by the thermal load on the anode.

Zhirnov [88] in 2000, first tried to identify standardization criteria for FE measurements, since in many cases, results from different experimenters are not comparable. He also stated that a figure of merit is the total current emitted divided by the entire cathode area and showed a plot of current density versus area partly based on Spindt emitter arrays. His diagram corresponds to the 1 mA line in the lower half of the diagram of Gaertner. Charbonnier [89] also discussed these questions, but instead of a similar diagram came up with the statement that the maximum dc current is 3 mA and the maximum pulsed current is limited to about 120 mA.

Wenger et al. [90] have pointed out that the field emitter arrays have not proven their usefulness in practical applications due to short life and inherent sensitivity to ion bombardment and arcing. They also showed that when increasing current by increasing voltage on CNTs, after a Fowler–Nordheim behavior at lower voltages, a limited FE region follows, where the limitation is caused by space charge and by the resistance of the contact, the substrate, and the emitter. At further voltage increase, a normal glow discharge starts. Hence, a FE ignited glow discharge can be controlled and used as a plasma electron source [90]. This topic will not be part of this book.

In general, of course, one has to accept the trade-offs by going from tips or “point sources” to extended area sources via arrays, since gates are needed, field shielding (i.e., electrostatic depolarization) has to be taken into account (requiring a certain tip separation), stability of emission from the different tips and reduction of fluctuations and noise have to be achieved by an integrated series resistance, which is also a source of additional power dissipation. Finally, arcing can become detrimental and needs to be avoided by operating at lower current densities. In the end, field emitters give new options and are an interesting alternative to thermionic cathodes especially for beam applications, but they do not really threaten the large-area and high-power domain of thermionic cathodes.