Introduction

One of the most promising methods to circumvent the density limitations imposed by the “superparamagnetism” effect in nanosized magnetic bits is the use of patterned media (PM).13 In conventional media, the magnetic recording layer is a thin film of a magnetic alloy such as the Co-Cr-Pt alloy film with the random grains separated with thin walls of SiO2. The recording layer naturally forms a random mosaic of nanometer-scale grains, which behave as independent magnetic elements. Each recorded bit is made up of many of these random alloy grains.4 However, in patterned recording media, the magnetic layer is created as an ordered array of highly uniform islands, with each island capable of storing an individual bit. This may be a single grain or several exchange coupled grains, rather than a collection of randomly decoupled grains. Also, the storage density in the PM with just one single switching volume can be increased in principle by about two orders magnitude compared to conventional recording media.5 Since each island is a single magnetic domain made of highly vertically anisotropic material with high coercivity such as Co/Pd multilayer superlattice layer, or FePt or CoPt type L10 phase alloy, PM is thermally more stable, even at densities far higher than can be achieved with conventional media.68

The major advantages of the PM are as follows. First, the transition noise is eliminated because the bits are now separated from each other and are defined by the physical location of the elements, not by the boundary between two oppositely magnetized (but physically in contact) regions of a thin film media.9 Second, very high data densities can be obtained because the stability criterion now refers to the volume and anisotropy of the entire magnetic bit element, not to the individual grains comprising the conventional granular media. Each of the magnetic switching elements could, therefore, be made as small as a few nanometers,10,11 as compared to tens of nanometers in conventional magnetic media.

However, the formation of high-density, a few nanometer-scale dot arrays is a challenging task in terms of achieving a practical goal of ultrahigh-density data storage and retrieval devices.1214 New methods for producing isolated high-density magnetic nanoscale arrays with a pitch of 20 nm or less have been extensively studied in recent years with the aim of fabricating the next generation of patterned magnetic media with a recording density of 1 Terabit/in2 and beyond.1517 Some of the more advanced fabrication approaches include e-beam lithography (EBL), nanoimprinting lithography, block copolymer self-assembly, and anodized aluminum oxide type electrochemical self-assembly.1823

In this article, we present an overview of the recently developed techniques for nanofabrication that could be employed in ultra-high-density data storage media and discuss future perspectives. These approaches can be divided into three categories, lithography patterning (E-beam and nanoimprinting), templated growth (anodized aluminum oxide and block copolymer self-assembly patterning) and some alternative methods (such as ion-implantation patterning).

Lithography

E-Beam Lithography

EBL is the most widely used patterning method for fabrication of nanoscale patterns with dimensions of less than ~100 nm.24 For laboratory-scale fabrication of PM with the magnetic island size in the range of 10–100 nm, EBL is quite useful. The EBL method consists of shooting a narrow, concentrated beam of electrons onto a resist-coated substrate. The EBL process can utilize either a positive resist approach or a negative resist approach (Fig. 1). EBL is also suitable for the creation of complex patterns like circles, rings, and for smaller structures. EBL technique is very similar to optical lithography, which has been used extensively for fabricating electronic devices. However, the EBL technique uses electrons to draw a smaller pattern on the resist layer instead of ultraviolet (UV) light because the wavelength of electrons is much shorter than that of UV light. Thus, EBL leads to a higher resolution pattern, as small as 10–20 nm in size.25

Fig. 1
figure 1

Fabrication steps using EBL

However, components can only be fabricated very slowly with a single e-beam source writing, and only one sample at a time, which greatly increases the time and the cost involved, thus essentially prohibiting industrial manufacturing use of the EBL technique. Also, the requirement of having to use a vacuum environment to avoid/minimize collisions of electrons with gas atoms in the e-beam writer chamber further complicates the equipment and processes.2628

Si Nanopillar Formation Using Poly(Methyl Methacrylate) PMMA Positive Resist

In this study, Si nanoisland pillars were fabricated to be used first as a template to deposit magnetic recording material on the pillar top as isolated magnetic bit material. The magnetic material deposited in the valley regions tend to be degraded and lose perpendicular magnetic anisotropy due to the topological disruption in forming the desired layered texture. PMMA is the most frequently used electron beam positive resist with sub-30-nm resolution capability for formation of Si nanoislands by EBL. PMMA generally needs a low intensity of e-beam exposure for pattern writing because it exhibits a high sensitivity to electron beam. To prepare highly packed patterns using PMMA with a thickness of about 30 nm, the low-dose exposed resist layer sample can be developed using a developer (IPA:DI water) with cold ultrasonic development.29 Figure 2 shows scanning electron microscopy (SEM) images of Si nanoscale pillar arrays formed after the standard etch mask deposition, lift-off, and reactive ion etch (RIE) processing. A Co/Pd multilayer magnetic recording media film (for example, Ta 3 nm/Pd 4 nm/[Co 0.2 nm/Pd 0.8 nm)]5 layers) were deposited by direct current (DC) magnetron sputtering at room temperature on top of the patterned Si template with a 40-nm diameter island array. The magnetic properties of the continuous and patterned Co/Pd multilayer films were measured by alternating gradient magnetometer and magnetic force microscope (MFM). As shown in Fig. 3a, the unpatterned (continuous) Co/Pd multilayer has a square loop with high perpendicular anisotropy. After DC erasure by using a 3000 Oe magnetic field, the MFM image of the patterned Co/Pd magnetic multilayer was measured. The MFM image in Fig. 3b shows that most of the dots have perpendicular magnetization. The black spots and white spots represent magnetization reversal (spin up and spin down). Despite the use of 3000 Oe applied magnetic field (well beyond the coercive force H c of the film, ~1200 Oe), the magnetic island dots did not switch to a uniform magnetization direction (by magnetic saturation) but instead remained as individual, separately magnetized bit configuration, which indicates that the coercive force H c has now been increased to a value greater than 3000 Oe through the nanopatterning into islands, as compared to the low H c (~1200 Oe) of the as-deposited, continuous CoPd multilayer film of Fig. 3a. In general, PM with smaller nanoislands exhibit higher magnetic switching field, and a higher coercivity is anticipated for the island configuration, as is the case for Fig. 3b.

Fig. 2
figure 2

SEM images of Si nanoscale pillar arrays by EBL using PMMA positive resist

Fig. 3
figure 3

(a) Magnetic hysteresis loop for unpatterned Co/Pd multilayer. (b) MFM image for patterned Co/Pd multilayer

Si Nanopillar Formation Using Hydrogen Silsesquioxane (HSQ) Negative Resist

HSQ is a negative resist often utilized for higher resolution EBL, for example, for the creation of ~10 nm feature size (~20 nm pitch nanopatterns). Figure 4 shows SEM images of Si nanodot arrays after the HSQ spin coat, e-beam exposure, and development steps for various pattern pitch sizes. HSQ nanodot arrays with pitches ranging from 35 nm to 20 nm were successfully fabricated. The HSQ resist has a high resistance against the dry etch process.30 Figure 5 is the Si nanopillar arrays after the overall pattern transfer process (lithography and RIE) with a variation in the pattern pitches of 35 nm (Fig. 5a), 30 nm (Fig. 5b), 25 nm (Fig. 5c), and 20 nm (Fig. 5d). The 10-nm island array (20-nm Si pitch island array) corresponding to a quite high areal-density of 1.6 Terabit/in2 was successfully fabricated as shown in Fig. 5d.31

Fig. 4
figure 4

SEM images of HSQ resist nanodot arrays after the development step for various pattern pitch sizes of (a) 35 nm, (b) 30 nm, (c) 25 nm, and (d) 20 nm

Fig. 5
figure 5

SEM images of Si nanopillar arrays after the overall process (EBL and RIE) with a variation in the pattern pitches of (a) 35 nm, (b) 30 nm, (c) 25 nm, and (d) 20 nm

Nanoimprinting Lithography (NIL)

Nanoimprinting lithography can conveniently be utilized for high-throughput nanomanufacturing of nanopatterns and nanodevices. There are two main methods for NIL, i.e., mechanical impression patterning versus UV light exposure patterning. These methods utilize different types of polymer resist (thermoplastic polymer or UV curable polymer). For NIL patterning, the substrate is first coated, e.g., by spin coating, with either a thermoplastic polymer resist or a UV curable polymer resist. In the case of thermoplastic polymer, the coated resist such as PMMA is heated to a temperature above its glass transition temperature and the nanopatterned stamp (or mould) is pressed onto the resist surface. Thereafter, the polymer is cooled to below the glass transition temperature and the stamp and substrate are separated. In the case of UV curable polymer resist, ultraviolet light, passing through the nanopatterned glass mask, is used to locally cross link the monomer and convert it to a patterned polymer solid region.32 Because of this requirement, the mould (mask) for the UV based nanoimprinting must be transparent. It is typically made of quartz. Thereby, the inverse profile of the stamp is replicated in the polymer resist layer. The pattern transfer to the substrate is accomplished by using RIE process.33 Figure 6 schematically illustrates the nanoimprinting process.

Fig. 6
figure 6

Fabrication of nanopatterns using nanoimprint lithography

Templated Growth

To make arrays of magnetic nanoislands using self-assembled templates, several methods have been studied. These templates can possess an ordered structure whose period may be smaller than that achievable through lithographic processes. The template is used as a mask for the etching of a magnetic material or as a mold for deposition of magnetic material, using similar techniques as those used in the lithographic processes described above.

Anodized Aluminum Oxide

As is well known, anodized alumina films having vertically aligned pore arrays can be used as templates for electrodeposition of metals and alloys. When aluminum is anodized, the resulting oxide layer contains a close-packed arrangement of fine pores, with the pore spacing and size controlled by anodization conditions such as voltage, current density, or pH, as well as a subsequent pore-widening process.34 The vertical pores can then be back-filled by electrodeposition of Co, CoPt, or other magnetic materials.35,36 Pore diameters as small as ~11 nm and the periods of ~40 nm have been reported, with a good close-packed ordering over a respectable area of up to 100 μm2.37 This method is well suited to the fabrication of arrays of long nanowires with lengths of micrometers or more, although it may be difficult to control the length distribution of short pillars deposited into alumina templates.38

Guided Anodized Aluminum Oxide Templates

For guided anodization to dictate where the anodized vertical pores are to be positioned with desired periodicity, nanoindentation of the spin-coated PMMA resist layer was performed using a Si based nanoimprint mould (stamp), followed by RIE pattern transfer of the indentation marks to the underlying Al film surface. The resist was then removed and the anodization process was carried out as described in Fig. 7.

Fig. 7
figure 7

Schematic illustration of guided anodization procedure using indentation

SEM micrographs of the Al films after the PMMA assisted anodization process using optimized anodizing voltage are given in Fig. 8. The prepatterned Al film surface after removing leftover PMMA (Fig. 8a), shows the nanoindented spots (triangular shaped) in hexagonal arrays, precisely replicating the periodic nano-indenter stamp pattern structure. After anodization, a periodically arranged nanotube array structure is obtained as seen in Fig. 8b. In addition to the originally indented triangular spots in hexagonal array, new sets of pores are formed by self-assembly anodization in the center of every pseudo hexagon consisting of six previously indented crater spots. The number of overall pores (including the indented holes and self-assembly anodized holes) is thus increased exactly by 50%. Such a pattern multiplication can be useful for obtaining higher density nanopatterns through self-assembly filling of in-between spaces with extra patterns. This periodic pattern so obtained is a long-range alignment in nature, with the periodic structure spanning 0.6 cm × 0.6 cm area identical to the total area of the nanoimprint stamp, with an example lower magnification SEM picture shown in Fig. 8c. An accomplishment of such a long-range ordering in anodized aluminum oxide material is technologically and scientifically important as one of the limitations/drawbacks of the AAO process is the presence of domain boundaries with the AAO ordered pore orientation often confined within each of the micrometer regime domain size. Such a long-range, periodic Al2O3 structure demonstrated here can be useful for obtaining a large area of arrays with predictable positioning of PM or nanostructures.

Fig. 8
figure 8

SEM micrographs of PMMA assisted process: (a) prepatterned Al film surface after removing PMMA residue after patterns transfer, (b) resultant AAO surface viewed at an angle, and (c) low-magnification image representing long-range-ordered AAO structures. It is evident that the anodization pores were guided at the dimples location and newly formed at the center of six pre-patterns surrounding. The arrows in (b) show newly created pores in the center of pseudo hexagon during anodization

Di-block Copolymer (DBCP) Self-Assembly

DBCP consist of two chemically different polymer chains (or blocks) joined by a covalent bond. Because of connectivity constraints and the incompatibility between the two polymer blocks, DBCP spontaneously self-assemble into phase-separated, nanometer-sized domains that exhibit ordered morphologies at equilibrium.39,40 In a given DBCP system, the relative chain lengths of the blocks determine the resulting morphology. Commonly observed domain morphologies in bulk samples are periodic arrangements of lamellae, cylinders, and spheres. The sizes and periods of these domain structures are governed by the chain dimensions and typically are on the order of 10 nm. Structures smaller than 10 nm are also obtainable if one chooses appropriate blocks with a high Flory–Huggins interaction parameter41 and decreased the block lengths. DBCP thin films spontaneously form nanometer-scale patterns over a large area, although long-range ordered structures such as needed for magnetic PM often require a special guided phase separation.

Guided Block Copolymer

Self-organized macromolecular materials can provide an alternative pathway to conventional lithography for the fabrication of devices on the nanometer scale. In particular, the recent success in self-assembly of the microdomains of diblock copolymers within lithographically-defined templates to create patterns with long-range order has attracted considerable attention, with the advantages of cost effectiveness, large area coverage, and compatibility with preestablished top-down patterning technologies.4244 Block copolymers consist of two covalently bound polymer chains of chemically distinct materials. The chains can self-assemble to form small-scale domains whose size and geometry depend on the molecular weights of the two types of polymers and their interactions.

Spherical morphology poly(styrene-b-dimethylsiloxane) (PS-PDMS) block copolymers, which have a large interaction parameter and a high etch contrast between two blocks, can be templated using an array of nanoscale topographical elements that act as surrogates for the minority domains of the block copolymer.45 Recently, complex nanoscale patterns have been generated by combining the self-assembly of block-copolymer thin films with minimal top-down templating. A sparse array of nanoscale HSQ posts were used to accurately dictate the assembly of a cylindrical PS-PDMS diblock copolymer into a wide assortment of complex, unsymmetrical features.46 To extend the feature sizes to the sub-10 nm range, the formation of highly ordered grating patterns with a line width of 8 nm and a period of 17 nm was investigated. A self-assembled PS-PDMS diblock copolymer was produced and sub-10-nm-wide tungsten nanowires were fabricated from the self-assembled patterns using a reactive ion etching process.

Alternative Methods

Ion Implantation

The topographical features associated with protruding nanoisland magnetic bit array introduce a new set of problems such as a difficulty of read/write head flyability. In order to address such an issue, a geometrically flat but magnetically nanopatterned media would be desirable. Therefore, an alternative method to produce bit-patterned media (BPM) without lithographically patterned island geometry has been attempted. Ion implantation to intentionally damage hard magnetic properties of the recording media by inducing nonmagnetic or reduced magnetization characteristics outside the magnetic bit locations is considered as one possible approach to make geometrically planar PM. Upon suitable ion-implantation processing, the lattice parameter, crystal structure ordering, and Curie temperature of the magnetic layer could get altered, and hence, the magnetization and anisotropy could be reduced or disappear completely.47,48 Among the various ions experimented nitrogen ion implantation exhibited a promising trend of reduced magnetization and anisotropy of Co/Pd magnetic multilayers under certain implantation conditions.

Figure 9 schematically illustrates the process for fabricating the flat-surface BPM using ion implantation. Patterned regions directly above the intended magnetic recording bit islands were coated with polymer island mask using a nanoimprinting technique. The samples were then ion implanted to allow penetration of implanted ions into the Co/Pd magnetic multilayer film outside the bit regions but with minimal penetration into the magnetic bit area, thus creating magnetically isolated bit island geometry while maintaining the overall flat geometry of the PM.

Fig. 9
figure 9

Fabrication processes for BPM using ion-implantation process

Figure 10 is the M–H hysteresis loop measured from the nitrogen-implanted Co/Pd magnetic multilayer with the implanted regions dictated by the nanoimprinted polymer mask. The M–H hysteresis loop improves to higher H c values (from ~1000 Oe for the no-implantation samples shown by black-colored loop to ~3000 Oe for the nitrogen-implanted samples, blue-colored loop), indicating the isolation of magnetic islands and exchange decoupling that now occur between the neighboring magnetic islands. It should be noted that the implantation process parameters such as the dosage, accelerating voltage, and tilt angle can be easily controlled to optimize the H c and the squareness of the M–H loop.48 To further confirm these results, the MFM and the atomic force microscopy (AFM) analyses were utilized to evaluate the nitrogen-implanted Co/Pd magnetic multilayer samples having the imprinted polymer mask on top of the intended magnetic island regions. Shown in Fig. 11 are typical MFM and AFM images of the Co/Pd multilayer after the nitrogen implantation process, with the polymer mask islands removed from the surface by acetone etching. The AFM scan on the right side indicated no protrusion of topographical features as a “planarized” BMP surface, while the magnetic bit regions versus nonmagnetic background regions are clearly differentiated in the MFM scan on the left. The area of the AFM and MFM scan was ~10 μm2.

Fig. 10
figure 10

M–H hysteresis loop measured from the ion-implanted Co/Pd magnetic multilayer protected by the nanoimprinted polymer mask

Fig. 11
figure 11

MFM and AFM images of BPM of Co/Pd multilayer film using nanoimprinting and nitrogen ion-implantation technique

Conclusions

BPM are attracting tremendous attention as a promising next-generation magnetic recording technology. Lithographic fabrication (EBL and nanoimprinting) of PM places extreme requirements on the fabrication method with desired high-throughput and resolution below 20 nm in terms of pattern period. While other approaches such as anodized aluminum oxide and block copolymer decomposition are useful for BPM fabrication, ion-implantation processes could also have a potential to address these challenges because of the advantageous features of planarized configuration with less geometrical complexities. It is expected that these process improvements will be useful for developing practical, ultrahigh-density, bit-patterned magnetic media for future hard disk drive information storage applications.