1 Introduction

Our society becomes increasingly dependent on information transmission and processing. The unprecedented growth in the development and deployment of wireless communication systems for a multitude of new applications is accompanied by an increasing need for higher data rates. Wireless data rates have been increasing 2-fold every 18 months over the last three decades and the speed of nomadic traffic is approaching the capacities of wire-line communication systems [1]. Extrapolating the exponential growth of the wireless capacity seen in the past leads to the unambiguous conclusion that data rates of about a few tens of Gbit/s will be needed in 10 years from now. Despite the efforts to improve the spectral efficiency of digital signal transmission further, it will be difficult to locate a sufficient amount of free bandwidth in the frequency allocation plans existing today up to 275 GHz [2]. Using the infrared (IR) frequency range for high speed data transmission turns out to be not an option since data rates are limited to several hundred Mbit/s [3] due to poor sensitivity of incoherent receivers, high diffuse reflection losses, high ambient light noise and a limited power budget due to eye-safety limits [4]. Since atmospheric transmission windows exist in the lower THz frequency range, it can be expected that carrier frequencies of 300 GHz and beyond will be used for communications once the technology for high bit-rate data transmission is available [57]. The beginning development is accompanied by standardization activities addressing the lower THz range, already [2]. The IEEE802.15 Terahertz Interest Group was formed in 2007 to explore the feasibility of the THz band for wireless communications [8]. Currently, its science committee works on an overview of technological trends, channel modelling, and will also provide input to the ongoing discussion on spectrum allocation beyond 275 GHz at the World Radiocommunication Conference (WRC) in 2012. Currently, the frequency band between 275 GHz and 1000 GHz may be used by administrations for experimentation with, and development of, various active and passive services. However, this regulative footnote is due to a modification that yields a more detailed specification of the operational conditions of the passive services. The stake holders of active services, e. g. THz communications, have to take care that the active services will not be removed from the list of services. The European Conference of Postal and Telecommunications Administrations (CEPT) is currently supporting the idea of keeping the active services in the footnote [9].

In this review article we first give an introduction to needs and possible applications of high speed wireless THz communication systems and discuss general system aspects. Then we move on to the ongoing research on components for THz communications systems as photonic and electronic devices, omni-directional mirrors, antennas and external THz modulators. In the next section we treat the important aspect of channel modelling. It includes the discussion of ray tracing as a tool to predict the coverage within an indoor environment, analysis of reflection and scattering properties of the materials used in this environment to set the ray tracing calculations on a realistic basis and also first channel measurements. Section 4 describes first system developments at 120 GHz and recent challenges of using the 300 GHz to 400 GHz band to enhance the data rate. The article concludes with an outlook towards the realization of extreme-bandwidth THz communications.

1.1 Needs and possible applications of high-speed wireless

Figure 1(a) shows a trend in wired (fiber-optic) communications such as Ethernet and passive optical network (PON). The slope of evolution corresponds to an increase of data rates of ten times in about five years. Ethernet and PON are now reaching data rates of 100 Gbit/s and 10 Gbit/s, respectively. Keeping up with the speed of these fiber-optic networks, the bit rate of wireless communications has been increasing at almost the same slope as shown in Fig. 1(b). For the application to fixed wireless access (FWA), field pick-up units (FPU) and wireless back hauls, a very high speed wireless capability up to 1.5 Gbit/s using 60 GHz millimeter waves is available. Even for wireless LAN and PAN, the bit rate will reach several tens of Gbit/s in ten years.

Fig. 1
figure 1

a Trend in wired communications technologies, b Trend in wireless communications technologies and c standardization of LAN, PAN and interfaces

In addition to these trends, standardization of Gbit wireless links has recently become very active as shown in Fig. 1(c). At home, uncompressed high-definition TV (HDTV) data at a bit rate of over 1.5 Gbit/s is transmitted from DVD or camera to the TV set. Several consumer-electronics makers have already introduced such a Gbit wireless interface into their leading products. Now, the next generation HDTV has come on stage. It is so-called ultrahigh definition TV, UHD or 4 k-TV, and has a 16 times increased resolution, which requires at least 24 Gbit/s data rate (Fig. 2 (a)). 3-D TVs, which are just at the beginning of a craze, will accelerate a required data rate in the future.

Fig. 2
figure 2

a Evolution of high-definition TV technologies, b close proximity wireless transfer technologies [10, 11] and (c) application scene of ultrahigh-speed wireless in broadcasting of multi-channel HDTV data

There is another standardization of high-speed wireless links, which enables a close proximity wireless transfer of large amount of data between mobile terminals and storage devices as shown in Fig. 2(b). There are consortiums named as “Transfer Jet” [10] and “Giga-IR” [11] using microwaves (560 Mbit/s), and infrared light (1 Gbit/s), respectively.

From the trends discussed above, high-speed wireless communications technology will eliminate bottlenecks in the speed of access networks. Currently, multi-channel transmission of “uncompressed” HDTV data is an urgent need in broadcasting applications as shown in Fig. 2 (c). Compression and decompression of HDTV data causes a delay, which makes it difficult to control a timing of real-time video signals, in other words, to make a real-time conversation between the event site and the broadcast station. For example, 6-channels of uncompressed HDTV data can be transmitted via a 10-Gbit/s wireless link from the relay point of the event site such as a golf tournament to the point where optical fiber cables are available.

In the future, wireless access of UHD data or at least 4 k data will allow us to enjoy highly-realistic sensation teleconferences, telemedicine, remote-education and remote-offices as illustrated in Fig. 3(a).

Fig. 3
figure 3

a Application scenes of ultrahigh-speed wireless in access networks and (b) application scene of ultrahigh-speed wireless in large-volume data transfer and/or exchange

Figure 3(b) shows another application scene, where soon Terabytes of data will be handled together with solid-state memory media such as secure digital (SD) memory and solid-state-drive (SSD) memory. Together with the progress in the internal access speed of memory technology, we will perform an instantaneous transfer of such a large-volume data using the high-speed wireless link between personal mobile terminals and PC/kiosk/cloud servers.

1.2 General system considerations

A THz communication system has to overcome certain technological and general hurdles. While the technological hurdles are discussed later, the general hurdles result from the enormous losses and the quasi-optical propagation path a communication link has to face. The attenuation due to the dissipation of the radiated energy between a transmitter and a receiver is given by Friis transmission formula [12] as free-space loss (c/4πfr)2, where c is the speed of light, f is the frequency of the electromagnetic wave and r is the distance between transmitter and receiver. In addition to this, infrared active molecules in the atmosphere, predominantly the strongly polar molecule H2O, increase the overall attenuation seen in a propagation path. Figure 4 shows the overall attenuation plotted over distance and frequency. Due to the atmospheric attenuation, certain transmission windows with minimum attenuation can be identified, which are located at 300 GHz, 350 GHz, 410 GHz, 670 GHz and 850 GHz [5]. However, for a typical indoor distance of 10 m, the overall attenuation adds up to 102 dB at 300 GHz, already. Scattering on hydrometeors is relevant only for outdoor environments and occurs in rain, fog and in clouds. It can reach values up to 100 dB/km and depends on the precipitation rate, only. Such a high attenuation level can only be handled using high gain antennas. While a stationary outdoor communication link using adjusted parabolic antennas is well conceivable, an indoor pico-cellular communication system faces different demands and cannot rely on this kind of antennas since a sophisticated adjustment would be required. For the wireless interconnection of different data processing devices, e.g. between hard disk and laptop on a desk or in a kiosk download scenario, horn antennas could be used that still have a considerable gain but that can be adjusted by a rough pointing. In contrast to this, a communication scenario based on indoor pico cells would have to rely on electronically steerable phased array antennas in order to allow for an automatic connection between transmitter and receiver. As direct paths could be blocked completely by humans stepping into the direct line of sight, this scenario has to foresee directed non-line-of-sight paths, also, making use of multiple reflections from the walls or from the floor and the ceiling. These scenarios could also benefit from new concepts as MIMO (multiple input multiple output) to improve coverage, reliability and capacity of the transmission. While the required smart antenna concepts based on phased arrays at THz frequencies are not available yet, they might play an important role in a few years from now.

Fig. 4
figure 4

Attenuation between transmitter and receiver plotted over frequency and distance including free-space loss and atmospheric attenuation due to polar molecules

2 Components

Figure 5 shows three typical approaches together with representative components for THz transmitters. The first all-electronics based approach is consisting of an RF signal generator, a data modulator, and a post-amplifier if necessary. Usually THz signals are generated by multiplying (x2 ~ 12) the amplified output of a Gunn diode oscillator or a synthesized microwave/millimetre-wave generator at 30-100 GHz [13]. An average output power of a few tens of microwatt has been achieved at 1.7 to 1.9 THz, and might be further enhanced by increasing the saturated output power of the amplifier. Other promising generators are integrated-circuit (IC) oscillators made of semiconductor transistors, resonant tunnelling diodes (RTDs) [14], Bloch oscillators [15], and plasmon oscillators [16].

Fig. 5
figure 5

Configurations of THz transmitters and required components. a All electronics-based system. b Photonics-based system. c THz laser-based system. Amplifier ICs of (b) and (c) are not always necessary

A second approach is the use of photonic techniques for the generation and modulation of THz signals. The optical signal whose intensity is modulated at THz frequencies is first generated using infrared lasers, and then it is encoded by an electro-optic (EO) or electro-absorption (EA) modulator. Finally, the optical signal is converted into the electrical signals by a photodiode (PD) or a photoconductor (PC), and the electrical signal is emitted to free space by an antenna. 1.55-μm telecom-based components such as optical fibers, Er-doped fiber amplifiers (EDFAs) and semiconductor laser amplifiers (SOAs) are essential, since they are reliable and cost-effective.

The third approach is based on the use of THz lasers such as quantum cascade lasers (QCLs). The QCLs can operate at around 1 THz even though they require low-temperature and strong magnetic field [17]. Direct modulation of the QCLs is possible at modulation frequencies of over 10 GHz [18] in addition to the use of external THz modulators such as 2DEG semiconductor modulators and metamaterial-based modulators.

Figure 6 shows two electronics-based approaches together with representative components for THz receivers. Direct detection (a) is easy to conduct with commercially available Schottky barrier diodes with a cut-off frequency of 1-10 THz. Heterodyne detection (b) with a Schottky barrier diode mixer and a local oscillator (LO) signal source provides higher sensitivity. Preamplifiers with low noise figure (NF) are effective to increase the receiver sensitivity, and have recently been demonstrated at 300-400 GHz.

Fig. 6
figure 6

Configurations of THz receivers and required components. a Direct detection system. b Heterodyne detection system. Preamplifier ICs are not always necessary

2.1 Photonic and electronic devices

Here, we describe some of the key devices and components shown in Figs. 5 and 6. Recent progress of oscillator ICs operating at fundamental frequencies from 100 GHz to 600 GHz is summarized in Fig. 7. All the device technologies exceed an output power of 10 μW which is considered to be a lower limit for practical (short-range) applications.

Fig. 7
figure 7

Comparison of output power from several semiconductor-based oscillator ICs

In the case of photonics-based transmitters as shown in Fig. 5 (b), the O/E converter is a key device. Figure 8 (a) and (b) show a high-output power photodiode called a uni-traveling-carrier photodiode (UTC-PD) [18] and a conventional pin photodiode, respectively. In the p-i-n-PD, the light absorption occurs in the intrinsic (depleted) InGaAs region, and electron-hole pairs are created. In contrast, the active region of the UTC-PD consists of two layers: one is a neutral (undepleted) narrow-gap light absorption layer (p-type InGaAs), and the other is an undoped or lightly n-type doped (depleted) wide-gap carrier collection layer (InP). Electron-hole pairs are created in the absorption layer, only, while the carrier collection layer is transparent to the illuminated light at a wavelength of 1.55 μm. In the UTC structure, only electrons act as active carriers, which enhances both the bandwidth and the output current.

Fig. 8
figure 8

Band diagrams of p-i-n-PD (a) and UTC-PD (b)

Modifications and/or combinations of these two photodiodes have been investigated to improve their performance. Figure 9 (a) shows the band diagram of the photodiode optimized for the operation at 300-400 GHz, which is a modification of the UTC-PD. The photodiode chip was packaged into the module with a rectangular waveguide (WR-3) output port [19]. Figure 9 (b) shows the frequency dependence of the output power generated from the module. The 3-dB bandwidth is 140 GHz (from 270 to 410 GHz). The peak output power was 110 μW at 380 GHz for a photocurrent of 10 mA with a bias voltage of 1.1 V. The output power could be further increased to over 400 μW by increasing the photocurrent up to 20 mA.

Fig. 9
figure 9

a Band diagram of the modified UTC-PD. b Frequency dependence of output power from the module for photocurrents of 6 mA and 10 mA

At frequencies of over 1 THz, integration of a planar antenna with the photodiode is more efficient to extract the available output power from the photodiode. Figure 10 shows a comparison of reported output power against frequency of operation for UTC-PDs, p-i-n-PDs, and low-temperature-grown (LT)-GaAs photomixers. The output power of UTC-PDs is about two orders of magnitude higher than those of p-i-n-PDs, mostly due to the high saturation output current. The output power decreases in proportion to f -4.

Fig. 10
figure 10

Output power from O/E conversion devices such as photodiodes and photoconductors

To overcome the limitation of the power capacity in a single device, a power combining technique using an array of antennas integrated with UTC-PDs was examined [20]. A total output power of 1 mW is expected for a 3 × 3 array at 300 GHz.

One of the remaining practical issues in the high-power UTC- and UTC-like PDs is thermal management. These photodiodes often face burn out before they reach their saturation condition due to thermal effects. To transfer heat out of the photodiode effectively, the use of a Si substrate by wafer (epi-layer) bonding and metal to metal (heat spreader) bonding [21] has been examined.

Figure 11 shows examples of receivers where Schottky barrier diodes (SBDs) are directly integrated with antennas. For 120 GHz receivers, the diode chip is flip-chip bonded to the Si platform on which the planar antenna is fabricated (Fig. 11 (a)) [22], while the diode and antenna are monolithically integrated on InP substrate (Fig. 11 (b)) [23]. To increase the directivity of antennas, semi-spherical Si lenses are attached to the receiver chips as shown in Fig. 11 (c). For longer distance (>10 m) transmission, the use of amplifiers is necessary (preamplifiers for the receiver and power amplifiers for the transmitter). Details will be described in Section 4.1.

Fig. 11
figure 11

Integrated receiver chips and their modules. a 120 GHz band receiver chip on Si platform. b Integrated 300 GHz receiver chip on InP substrate. c Attachment of Si lens to receiver chip. d Photo of receiver module

2.2 Omni-directional dielectric plastic mirrors

THz indoor communication systems will have to rely on directed non-line-of-sight paths in case the direct line of sight is blocked, e.g. by a human stepping into the path. Ray-tracing simulations have shown that the coverage achieved including once and twice reflected transmission paths critically depends on the reflectivity of the walls [2426]. Koch and coworkers [27] proposed to use flexible dielectric plastic mirrors to enhance the reflectivity of the walls. In contrast to using thin metal films embedded in part of the wallpapers that cover the inner walls of the room containing the pico cell, dielectric stop-band filters and mirrors are frequency selective and do not block communication signals from other services, e.g. GSM or UMTS.

Figure 12 shows the basic operational principle of a dielectric mirror. It consists of a stack of dielectric layers with two alternating materials having different refractive indices and thicknesses. The reflection and transmission properties of the whole dielectric stack are determined by the superposition of the electromagnetic waves transmitted and reflected at the barriers between low refractive index n L and high refractive index n H . In order to form a highly reflective mirror at a particular free-space wavelength λ the optical thickness n·d of both films of physical thickness d L and d H should correspond to λ/4: n·d=n L ·d L =n H ·d H =λ/4. For a stack of such pairs stop-bands of high reflectivity occur at frequencies of f =m·c/(4nd) with m = 1, 3, 5, 7,... and c the speed of light in vacuum. For film combinations which do not resemble such perfect λ/4 pairs the intensity of the stop-bands is reduced and they are shifted in frequency. High reflectivity requires a sufficiently large difference in the refractive index of the two materials and low dielectric losses at the center frequency of the reflector or filter.

Fig. 12
figure 12

Basic operational principle of dielectric mirrors. a stack of dielectric layers, b refractive index within the layer stack

Turchinovich et al. [27] were the first who transferred the principle of dielectric mirrors to the THz frequency range. Before, filters and mirrors were either based on metallic meshes or on photonic band-gap crystals. Since the thickness of the required dielectric layers is in the order of several hundred μm, using commercially available plastic foils represents an easy and cheap approach to fabricate large-area sub-millimeter-wave stop-band filters and mirrors [28]. They demonstrated an all-plastic mirror consisting of 8.5 pairs of 190 μm polyethylene (PE) with n = 1.74 and 300 μm of Styrolux™ (SX) with n = 1.59 achieving a maximum reflectivity of 76 % in the first stop band centered around 187 GHz. Using the transfer-matrix method [29] they were able to predict the reflectivity spectrum measured with THz time-domain spectroscopy with high accuracy.

For application in directed NLOS communication scenarios with multiple reflections the dielectric plastic mirror should have good reflectivity over a broad range of incidence angles. Such an omni-directional mirror can be obtained by a proper choice of its refractive indices [30]. A first omni-directional mirror for the frequency range of interest was also demonstrated by Koch and coworkers [31]. The structure consisted of five 150 μm thick PE layers with a refractive index of 1.53 and four 63 μm thick layers of high-resistivity silicon with a refractive index of 3.418. Due to the high refractive index steps, the structure yields very high reflectivity both for TE and TM polarization. For frequencies between 319 GHz and 375 GHz and incidence angles between 0° (normal incidence) and 89°, power reflection coefficients around 95 % were achieved. This corresponds to reflection losses of less than 0.2 dB for a single reflection. The dispersive properties of such omni-directional THz mirrors have been investigated both with measurements and simulations [32]. The group delay is found in the order of a few picoseconds which makes them well suited as reflectors in future short-range terahertz communication systems.

However, an application of the stack as wall paper requires a mechanically flexible structure, whereas in the current realization the use of thin layers of silicon reduces the mechanical flexibility. For the realization of mirrors with comparable reflective properties but with a higher degree of flexibility, the authors propose to replace the silicon layers by layers of a polymer with high refractive index microparticle additives. Compounding technology allows to custom tailor the dielectric properties as desired for the mirror design. Mirrors based on the two different base materials polypropylene (PP) and polyvinylidene fluoride co-hexafluoropropylene (PVDF) have been demonstrated with rutile titania (TiO2) serving as high refractive index additive [33].

Assuming the enhanced reflection properties of parts of the walls covered with dielectric plastic mirrors, a detailed coverage analysis has been performed based on ray-tracing [5, 24]. By covering only a few percent of the inner walls or of the ceiling with dielectric mirrors, the available signal level can be enhanced significantly since the coverage is predominantly determined by a few typical propagation paths, only. Depending on the scenario, it has been shown that using dielectric mirrors could help to reduce the required antenna gain by a few dB or to compensate for losses due to rough surfaces.

2.3 Antennas

While recent demonstration experiments and channel measurement campaigns use either horn antennas, open ended waveguides or parabolic antennas, their use for future indoor communication systems will remain restricted since a certain amount of adjustment of transmitter and receiver antenna is needed. The time and effort needed for adjustment increases with the directivity of the antenna type. Since open-ended waveguides have directivities in the order of 10 dB at 300 GHz (corresponding to a 3 dB aperture angle of 100°), a communications link can easily be established by roughly pointing transmitter an receiver toward each other. Horn antennas can be fabricated with considerably higher directivities between 15 dB and 30 dB. The application of such antennas could easily be conceived in a kiosk download scenario, e.g. for reading out a hard drive on a desk. Their application in commercial applications will depend on the integratability of the horn antennas into different circuit and waveguide technologies, e.g., micromachined structures.

For applications with quasi-stationary communication links where a certain amount of adjustment is acceptable (e.g., a movie production set scenario where high resolution cameras are connected to a gateway without the need for wiring) antennas with even higher gains could be used. Parabolic antennas have directivities mainly depending on the aperture area and easily exceeding 30 dB (e.g., 60 dB for a 1 m reflector at 300 GHz).

Whereas in some scenarios these kinds of antennas can be used, pico-cellular indoor communication systems will require electronically steerable antennas in order to allow for a fast automatic reconfiguration or even for establishing several transmission paths. Such smart antennas will have to be realized as phased array antennas. T. Bird et al. describe a high-throughput THz wireless LAN based on multi-beam antennas [34].

Due to their flexibility, their potential for integration and their cheap and easy fabrication, planar structures could be a good solution for future commercial communication systems. At 77 GHz planar antenna arrays for automobile radar applications are state of the art, already despite the natural drawbacks of planar arrays which are mainly high losses, low gain and low power. However, beyond 77 GHz, not much work has been done, yet. Schöbel and coworkers [35] developed a patch antenna with 6 dBi gain for the industrial, scientific and medical (ISM) band around 122 GHz where 10 GHz would be available for local communication applications. For the radar band around 140 GHz they developed a patch antenna array achieving a gain of 11 dBi.

2.4 External THz modulators

In THz communications as well as in many other metrological applications of THz radiation, active devices for beam manipulation are needed for a variety of tasks. While in communications the main application could be the modulation of carrier waves with data streams, other applications, e.g., for adaptive beamforming and electronically switchable mirrors and filters, can be conceived. Such external devices could be based on e.g. semiconductor heterostructures or on liquid crystals. Their aim is to modulate magnitude and phase of reflected and/or transmitted signals effectively.

In recent years, the study of the fundamental interactions between THz radiation and semiconductors has raised a significant amount of attention. Various different approaches have been taken to modulate the intensity of THz beams. An approach based on optical excitation was demonstrated by Libon et al. in a mixed type-I/type-II GaAs/AlAs multiple quantum well structure [36]. The intensity of a transmitted THz beam with frequencies between 0.2 and 1.0 THz decreased by 40% after exciting an electron density of 1011 cm−2 per quantum well in a 20-period structure. To circumvent the drawbacks of optical excitation, Kersting et al. developed an electrically switchable modulator consisting of five identical parabolic quantum wells [37]. The energy spacing of the subbands was chosen in such a way that terahertz radiation could be absorbed due to the excitation of electrons to the higher lying states. An externally applied electrical field was used to control the electron occupation and thus the absorption of the device in the terahertz frequency range. Both of these semiconductor based devices operated at cryogenic temperatures below 80 K.

A much simpler semiconductor based approach that works at room temperature was taken by Kleine-Ostmann et al. [38]. This external THz modulator device is based on the transmission change induced by the depletion of a two-dimensional electron gas (2DEG) which was demonstrated by Allen et al. initially [39] and which is used in the high electron mobility transistor (HEMT). The concept is visualized in Fig. 13. A 5 nm thick large chrome gate is used to control the electron density in the 2DEG underneath. By applying a negative gate voltage the amplitude of the THz signal transmitted through the device can be increased by 3 % [40].

Fig. 13
figure 13

Visualized concept of a 2DEG terahertz modulator based on the depletion of the electron gas underneath a large gate in the center (by courtesy of F. Stewing)

A room temperature approach for the modulation of terahertz radiation based on liquid crystals was proposed by Pan and co-workers. With the use of electrically and magnetically controlled birefringence in a nematic liquid crystal cell, they were able to induce a phase shift of 2.46 rad at 1 THz [41, 42]. Since the orientation of liquid crystals is slow, these devices are not suitable for high bandwidth modulation applications. However, such devices may be very useful for beamforming and steering. Recently, M. Koch and co-workers demonstrated an electrically switchable Bragg structure consisting of liquid crystal layers embedded in dielectric layers [43].

The external 2DEG room temperature THz modulators have been used for a first simple demonstration of communication signal transmission over a THz link [44]. After placing the modulator device in a standard THz time-domain spectrometer as shown in Fig. 14, the 75 MHz train of broadband THz pulses has been modulated in amplitude with audio signals of up to 25 kHz bandwidth and transmitted to the photoconductive detector antenna. The transmission of speech signals over a distance of 0.48 m using THz radiation was demonstrated for the first time. However, the bandwidth of the signal transmission is restricted by the RC time constant of the THz modulator which was found in the order of 0.1 ms.

Fig. 14
figure 14

2DEG modulator positioned in the intermediate focus of a THz time-domain spectrometer to demonstrate signal transmission in the THz frequency range

3 Channel modelling

The derivation of complex channel models necessary for system simulations of future wireless communication systems will require detailed channel characterization and propagation measurements. To achieve higher data rates communication systems will require both the allocation of larger bandwidth and the improvement of the spectral efficiency. Although more efficient source and channel coding algorithms and improved hardware might lead to higher data rates in the frequency bands used for communications today, data rates far beyond 10 Gbit/s will be possible by using new frequency bands, only. An improvement of the spectral efficiency could be achieved using more complex modulation schemes and/or by implementation of multi antenna systems (Multiple Input Multiple Output – MIMO). Whereas more complex modulation schemes require an increased signal-to-noise ratio, MIMO uses multi path propagation. In both cases, the transmission capacity of a system with a given transmission power depends critically on channel properties like path losses, antenna misalignment and interference due to reflection and scattering. The basis for the quantitative evaluation of the channel properties are angular resolved measurements of the channel transfer function in the transmission band. Transformed into the time-domain, the channel impulse response allows to access time of arrival properties of the sounded channel as needed to fully characterize a multi-path environment. Furthermore, the pulse delay spread can be calculated from the channel impulse response. It is a measure for the temporal spreading of a sharp pulse in the channel and can be used to estimate the maximum possible data rate.

Depending on the region 7 to 9 GHz of unlicensed spectrum are available for communications in the frequency band 57 to 66 GHz, already [45, 46]. Between 300 and 3000 GHz bandwidth of 30 to 40 GHz are available in different transmission windows. However, few is known about the channel properties in these new transmission bands. While first channel measurements have been performed at 60 GHz, no channel characterization has been reported at 300 GHz and above so far.

3.1 Ray tracing

In order to simulate critical system aspects of indoor communication systems where multiple transmission paths are involved, ray tracing algorithms can be used. Ray tracing has been verified against measurements to provide reliable channel simulation results at mm-wave frequencies, already [47, 48].

Kürner and coworkers have implemented an integrated simulation environment for the investigation of future THz communication systems [49]. It can be used to estimate the system behaviour under realistic hardware and propagation conditions in order to identify critical parameters and to derive requirements for the development of hardware components. The simulation environment merges different tools in order to simulate a complete system consisting of the modulator/demodulator, the RF front end and the propagation channel. While the RF front end is simulated using the Advanced Design System (ADS) from Agilent EESof [50] with data from available hardware components used in a given Tx/Rx architecture, an inhouse-developed ray tracing channel model is used to implement the THz propagation channel. The output from ADS (noise figure NF and output power Pout) and the signal coverage map provided by the ray tracing module is then combined with information about the used modulation schemes in a MATLAB [51] program. The simulation environment has been used to characterize various indoor scenarios. Realistic models of office rooms with different furniture have been implemented. Obstruction of transmission paths by persons stepping into the beam have been considered with intransparent blocks moving arbitrarily in a large number of simulation runs.

From the ray-tracing channel model, maps of received power levels for the room equipped with furniture have been obtained for given transmit powers and antenna gains. The calculations show that a full coverage can be achieved, if transmission paths with one and two reflections from walls and ceiling are included. Using the full system representation, required antenna gains and achievable data rates for a given modulation scheme were estimated. The simulation environment has also been used to calculate the benefit of dielectric mirrors as described in chapter 2.2 covering parts of the walls or the ceiling.

3.2 Reflection and scattering properties of building materials

To obtain realistic channel propagation models in future pico-cellular indoor terahertz communication systems it is necessary to know the reflective properties of surfaces made of building materials found in the corresponding scenario. The ray tracing simulations need realistic angle dependent reflection data of the modelled surfaces in order to produce realistic coverage maps for further system simulations. Such angle dependent reflection properties can be measured using fibre-coupled THz time-domain spectrometers in reflection geometry. However, the large amount of required measurements for a variety of angles and for transversal electric (TE) and transversal magnetic (TM) polarization is tedious and time-consuming.

In the case of smooth surfaces, a more appropriate approach is to model the angle dependent reflection coefficient of the surfaces using Fresnel’s equations [29]. For the calculations, refractive index and absorption coefficient of the corresponding materials are needed, only. These can be derived much faster from a single transmission measurement in a standard THz time-domain spectrometer. This approach has been verified successfully by Piesiewicz et al. [25] for smooth samples of plaster, glass and wood.

In more realistic communication scenarios surfaces are often rough so that scattering effects cannot be neglected. In a later work [26] the above mentioned approach has been extended to rough surfaces applying Kirchhoff’s scattering theory. The specular reflection from a rough surface can be obtained by multiplying Fresnel’s equations with a Rayleigh roughness factor that can be calculated from the measured surface height distribution. Although certain constraints of Kirchhoff’s scattering theory apply (no multiple scattering, no shadowing, locally smooth surfaces and Gaussian height distribution with correlation length exceeding the wavelength), the approach was verified successfully for plaster samples of different roughness.

3.3 300 GHz channel measurement system and demonstration of video signal transmission

For channel measurements and transmission experiments in the frequency band around 300 GHz, a versatile system consisting of autarkic transmitter and receiver modules has been set up at the Physikalisch-Technische Bundesanstalt (PTB), the German national metrology institute [52]. Although the system can be used for a variety of measurement tasks, ranging from material parameter determination over research on dielectric waveguides to low-cost vector network analysis it has mainly been designed for the measurement of channel characteristics for future indoor THz communication systems including multi path propagation, diffraction and scattering analysis at sub-mm wavelengths and the evaluation of appropriate modulation techniques [53].

The system as shown in Fig. 15 (with additional equipment for digital signal transmission) consists of two autarkic transmitter and detector units both based on a Schottky mixer that operates on its second harmonic. In case of both modules, the local oscillator (LO) is provided by a dielectric resonator oscillator (DRO) that is tripled in frequency and amplified and then tripled in frequency a second time. The transmitter module allows to up-convert an arbitrary signal in the frequency range between 0 GHz and 10 GHz to the range from 290 GHz to 310 GHz and transmit it with a diagonal horn antenna into free-space. At the detector module a second diagonal horn antenna serves to receive the signal and feeds it into the mixer for down conversion. There it is shifted to an intermediate frequency range between 5 and 15 GHz or 5 and 25 GHz, depending on the DRO used in the receiver module. The output signal strength of the receiver module ranges between -65 and -35 dBm for antenna separations between 1 and 80 cm at a fixed input signal level of -10 dBm. For the whole frequency range a proper signal-to-noise level of more than 50 dB can be maintained, providing sufficient dynamics for a variety of measurement tasks. A detailed noise analysis has been performed [52]. Figure 16 shows the noise evaluation of the system for a typical measurement situation where a signal generator (135 dBc/Hz, corresponding to a noise temperature of 228878 K at a signal power of -10 dBm) provides the input signal and a spectrum analyzer with a resolution bandwidth set to 1 MHz is used to display the output of the receiver module after a transmission distance of 0.5 m.

Fig. 15
figure 15

Block diagram of the 300 GHz measurement and transmission system with equipment used for digital signal transmission experiments. a Transmitter module and (b) receiver module

Fig. 16
figure 16

Noise budget for the transmission of a sine signal over a distance of 50 cm

As a first experiment, the set-up was used to demonstrate the feasibility of THz communications by transmitting an analogue video signal over a distance of 22 m [52]. In this demonstration, the antenna gain at transmitter and receiver was increased by 14 dB each placing two polyethylene lenses (5-cm diameter, 12-cm focal length) in front of the horns leading to a very directed transmission. The feasibility of indirect transmission paths in THz communications was shown by demonstrating the video transmission over a distance of 4 m including the reflection from a painted wall.

Later, in a more sophisticated experiment, also digitally modulated signals were transmitted over the 300 GHz link [54]. Figure 15 shows the setup with the required signal generators and receivers. By transmitting a 96 Mbit/s DVB-S2 signal over a distance of 52 m, the feasibility of high data rate communication links in the lower THz frequency range using high-order modulation schemes with a suitable forward error correction was shown impressively. First measurements regarding modulation analysis and bit error rate (BER) at 300 GHz in a 64-QAM modulated OFDM channel were performed, additionally.

For channel measurements, the autarkic transmitter and receiver modules are fed with a single LO signal distributed through a power splitter. In this way, instead of downconverting the received signal to an intermediate frequency for data transmission, a homodyne signal conversion directly to the baseband is implemented. As obligatory for proper network analyses, time phase coherence between transmitter and receiver is achieved. First measurements in a simple interference scenario have been performed, already [2]. Further measurements in more complex realistic scenarios are underway.

4 System developments

In this section, we describe recent developments of ultrahigh-speed wireless link systems using carrier frequencies of over 100 GHz, i.e. the 120 GHz band and the 300 GHz band.

One of the common concerns when using radio waves above 100 GHz for wireless communications is the large propagation loss in air. From 100 to 300 GHz, there are three valleys, where the attenuation is at a local minimum: 75-100 GHz, 110-150 GHz and 220-270 GHz. The initial choice is the 120 GHz band centered at 125 GHz.

The 120 GHz band systems first adopted a photonics-based transmitter (Fig. 5 (b)) in the wireless link with a bit rate of 10 Gbit/s [55, 56]. This system has been successfully applied to multi-channel transmission of uncompressed high-definition (HD) TV signals, and has passed a field experiment at a transmission distance of over 100 m. With progress in the semiconductor IC technologies, the all electronics-based transmitter has been introduced, which contributes a reduction in size, weight and cost together with ease of operation [57, 58]. A trial use of this system was conducted within the live broadcast of the 2008 Olympic Games in Beijing [59] by Nippon Telegraph and Telephone Corporation (NTT) and Fuji Television Network Inc. In addition, the transmission distance has been extended to 5 km by developing a power amplifier for the transmitter. The long-term stability has been improved with a forward-error correction (FEC) technique developed by Japan Broadcasting Corporation (NHK) [60].

In order to increase the bit rate toward >20 Gbit/s, the carrier frequency has been increased to 300-400 GHz. Similar to the initial stage in the development of the 120 GHz band system, the photonics-based transmitter has been introduced to examine the transmission properties of 300-400 GHz electro-magnetic waves, as well as to optimize the receiver configuration.

4.1 Development of 10 Gbit/s wireless system using 120-GHz band with both photonics-based and all-electronics-based components

Table 1 shows specifications of photonics-based and all-electronics wireless link systems for long (>100 m) distance applications using the 120 GHz band. Both systems use high-gain (~50 dBi) Cassegrain antennas and can support the optical network standards of both 10 GbE (10.3 Gbit/s) and OC-192 (9.95 Gbit/s) with a bit error rate of <10-12.

Table 1 Specifications of the 120 GHz band wireless link systems.

A block diagram of a photonics-based 120 GHz band wireless link is shown in Fig. 17. An optical RF source generates optical subcarrier signals whose intensity is modulated at 125 GHz. An optical intensity (ASK) modulator modulates the optical subcarrier signal using data signals. The modulated subcarrier signal is amplified by an optical amplifier and input to the high-power photodiode. The photodiode converts the optical signals into RF signals, which are amplified and radiated toward the receiver via an antenna. The received RF signals are amplified and demodulated by a simple envelope detection scheme. The RF receiver is composed of all-electronic devices using InP-HEMT technology as shown in Fig. 18.

Fig. 17
figure 17

Block diagram of the 120 GHz wireless link using photonics-based transmitter

Fig. 18
figure 18

a 120 GHz band receiver MMIC. b Packaged receiver module with WR-8 waveguide

One of the advantages of the photonics-based RF transmitter is that it makes the transmitter core (Fig. 17) very compact and light-weight, so that the antenna unit can be placed anywhere in the field. The photonics-based RF transmitter can be expanded to the multi-band system as shown in Fig. 19(a). By using the WDM technology, we can select one of three carrier-frequency bands where the atmospheric attenuation becomes minimum (30 GHz band, 90 GHz band and 120 GHz band), in order to be able to ensure the transmission quality depending on the weather, and to perform frequency hopping for security reason.

Fig. 19
figure 19

a Schematic diagram of the multi-band wireless link systems using photonic RF transmitters and (b) block diagram of the electronics-based transmitter and its equipment with antenna

In addition, a heterodyne receiver for the 120 GHz band wireless link has been examined using the photonic RF generator as a local oscillator (LO). Sufficient stability of the photonic LO has been confirmed [55].

Figure 19(b) shows a block diagram of a 120 GHz band transmitter using all electronic components. The 62.5 GHz LO signal is generated by multiplying 16.625 GHz. The 10 Gbit/s base-band data signal is up-converted to the frequency centered at 125 GHz by the LO signal in the transmitter MMIC. The power amplifier boosts the output power to more than 20 mW.

Bit error rate (BER) characteristics of the all-electronics based 120 GHz wireless link system are shown in Fig. 20(a). The minimum received power is -38 dBm at a bit rate of 10 Gbit/s.

Fig. 20
figure 20

Typical performances of the 120 GHz band wireless link system using all-electronic components. a BER characteristics of the link (10 Gbit/s). b Long-term fluctuation of the received power measured during field trail in the Olympic broadcasting

To test the system under real-world conditions, the wireless link was installed in parallel with a broadcaster’s network at the 2008 Beijing Olympics [59]. The 120 GHz receiver was placed on the roof of the International Broadcasting Center (IBC), while the transmitter was at the Beijing Media Center (BMC) which was about 1 km from the IBC. The transmission experiment for live broadcasting of 32 TV programs was carried out during over 2 weeks. The transmission was very stable. Fluctuations in the received power were below 2 dB for 20 hours as shown in Fig. 20 (b).

4.2 Towards over 20 Gbit/s wireless systems using the 300-400 GHz band

Figure 21 shows a block diagram of a 300-400 GHz band system using a photonics-based transmitter. This system is intended for use in short-distance (~0.5 m) applications, since there are no amplifiers. An optical RF signal is generated by heterodyning the two wavelengths of light from the wavelength-tunable light sources. The optical signal is digitally modulated by a optical intensity modulator driven by a pulse pattern generator (PPG). Finally, the optical signal is converted to an electrical signal by the modified UTC-PD shown in Fig. 9. The THz wave is emitted to free space via a horn antenna with a gain of 25 dBi, and it is collimated by a 2-inch-diameter Teflon lens. The receiver consists of a Schottky barrier diode and an IF filter (Fig. 11) followed by a low-noise pre-amplifier and a limiting amplifier. The envelope detection is performed by the SBD for ASK modulation.

Fig. 21
figure 21

Block diagram of the wireless link using photonics-based terahertz-wave transmitter. UTC-PD: Uni-Traveling-Carrier-Photodiode. SBD: Schottky-Barrier-Diode

Figure 22 (a) shows BER characteristics at 12.5 Gbit/s with a carrier frequency of 300 GHz. The horizontal axis corresponds to the photocurrent of the transmitter. An error-free transmission at 12.5 Gbit/s has been achieved with 4 mA current, which corresponds to a transmitter output of approximately 10 μW. Currently, the upper limits in the bit rate of PPG and BER tester are 14 Gbit/s and 12.5 Gbit/s, respectively. Figure 22 (b) shows the eye diagram at 14 Gbit/s. Although the BER could not be measured, an error-free transmission was confirmed from the clear eye opening.

Fig. 22
figure 22

a BER characteristics at 12.5 Gbit/s. b Eye diagram at 14 Gbit/s

Since the bandwidth of both the transmitter and receiver exceeds 100 GHz and the available output power from the transmitter is over 10 times higher than in the case of 12.5 Gbit/s, a bit rate of 20-40 Gbit/s can be anticipated.

Figure 23 shows a series of eye diagrams for different carrier frequencies at a bit rate of 1 Gbit/s, measured before the limiting amplifier in order to see waveform deteriorations more clearly. Eye patterns were clean, which ensures an error-free transmission from 280 GHz to 400 GHz. The observed very small change in the eye opening is mainly due to the carrier frequency dependence of the responsivity of the Schottky barrier diode. The SBD responsivity is highest at around 300 GHz and decreases when the carrier frequency increases up to 400 GHz. These results suggest a possible utilization of the 300-400 GHz band for multi-channel giga-bit links [61].

Fig. 23
figure 23

Eye diagrams at a bit rate of 1 Gbit/s with carrier frequencies from 280 GHz to 400 GHz

5 Conclusions and outlook

The demand has been increasing for higher data rates in wireless access systems in order to keep up with the remarkable speed-up of fiber-optic networks. A 10 Gbit/s data rate is an urgent need for the wireless transmission of 10 Gigabit Ethernet (10GbE) signals, and multiplexed uncompressed high-definition television (HDTV) signals. In the future, 20, 40 and 100 Gbit/s will be required for wireless technologies, which can transmit Super Hi-Vision (SHV)/Ultra High Definition (UHD) TV data, having 16 times the resolution of HDTV (at least 24 Gbit/s), OC-768/STM-256 data (43 Gbit/s) and 100GbE (100 Gbit/s). In addition to these access network applications, there has also been a need in close proximity wireless transfer of large amount of data, for example, between mobile terminals and storage devices. Such a near-field data transfer technology will possibly evolve to wireless interconnections in devices and equipments.

Towards 100 Gbit/s wireless communications, the use of terahertz carrier frequency initially with simple modulation formats like ASK and PSK is promising. In particular, the use of terahertz waves at frequencies above 275 GHz has attracted a great deal of interest for wireless communications. This is mainly because these frequencies have not yet been allocated to specific applications and thus can be used for extreme bandwidth high-speed communications.

Developments in the 300 to 500 GHz region are most realistic. This comes from the recent progress in the operation frequency of semiconductor devices and circuits. According to the ITRS roadmap, the cut-off frequency of Si-CMOS will exceed 500 GHz within a few years. In addition to the device speed, there is an important merit in choosing higher carrier frequencies. At frequencies of over 300 GHz, the planar antenna size becomes an order of sub-millimeter, and the beam-forming antenna array will be in a size of a few millimeters. These miniature antennas are integrable with communication terminals and equipments at low cost. From the viewpoint of atmospheric attenuation of electro-magnetic waves, 500 GHz is nearly an upper limit in “last-one-mile” outdoor applications, while this is not the case for short-range indoor applications.

Future work addresses higher bit-rate transmission by increasing the video bandwidth of the receiver circuit. The photonics-based approach should be a carrying vehicle for the exploration of undeveloped frequency regions. In the near future, semiconductor electronics technology, particularly, silicon LSI technology will be introduced in the 300-500 GHz band for compact and cost-effective wireless communication systems. In addition, further progress in other THz passive components such as antennas, filters, and mirrors is necessary, while some of them should be integrated with LSIs.

Finally, besides the implementation aspects of THz communications, there are important regulatory issues to be considered. For example, the allocated THz spectrum for passive services is on the agenda of the next WRC 2012. Agenda item 1.6 of WRC 2012 is to review No. 5.565 of the Radio Regulations in order to update the spectrum use by the passive services between 275 GHz and 3000 GHz. Frequency bands from 275 GHz to 1000 GHz are considered to be most valuable for passive services such as radio astronomy and earth-exploration satellite services (EESS). In 2007, the IEEE 802.15 Terahertz Interest Group [8] has been chartered to explore the feasibility of the above frequencies for wireless communications, to discuss the agenda item for WRC 2016, to identify the overall spectrum demand for THz communications, and to study interference effects especially with EESS in order to share this frequency region with active services on the ground or in space due to the extremely strong atmospheric absorption and the very narrow antenna beam widths.