Introduction

Nanometer sized pores are emerging to be a powerful bio-sensing platform. Sensing in these devices is based on transient changes in the ionic current passing a nanopore, while an analyte molecule translocates through, providing label-free detection in a sensitive manner [1]. Detection of different analytes, including nucleic acids towards genome sequencing [2] and proteins [3] has been presented. Initial demonstrations of such devices were obtained using natural, proteinaceous nanopores [4, 5]. However, the use of natural nanopores restricts the available nanopore size. In addition, the measurement pH and temperature conditions are limited, and the device lacks durability. To overcome these limitations, methods for fabrication of nanopores in solid state membranes were developed, commonly by maskless, single step physical sputtering using highly energetic and focused ion or electron beams [68]. The emergence of solid state nanopores has paved the way for a wide range of applications. It has been shown that solid state nanopore sensors are capable of DNA detection [2], and the application of such nanopores for DNA sequencing is being continuously developed. The solid state nanopores have further been employed for detection of proteins [9, 10]. Chemical modification of the nanopore rims has been used to aid the sensing process [11, 12]. We have recently demonstrated that bio-inspired sensitization of the nanopores can lead to the detection of small molecules at the single molecule level [13].

The membrane material is an important factor for determining the fabrication conditions and for the resulting shape and surface properties [7, 14, 15]. The latter two are extremely important for the sensing process [16, 17]. Hence, different membranes made of, e.g., silicon oxide and silicon nitride [18], alumina [19], and graphene [20] have been employed for nanopore fabrication [2, 1113]. Additional flexibility in the design of the device can be obtained by the use of multi-layered membranes [15, 21]. Such configurations may utilize the advantages of each of the components of the membrane [22, 23], and may allow formation of complex structures. To this end, the well-developed silicon technology, which offers the ability to construct high quality silicon based multi-layers that can be processed into membranes, may be utilized. For example, nanopores prepared in silicon-on-insulator (SOI) membranes show reduced capacitance and electrical noise [23, 24]. In such configuration, the properties of the silicon layer, e.g., its conductivity, can be controlled by the silicon doping level. Electrically contacting the layer may then be employed to control its surface potential and surface charge, a critically important factor in determining analyte translocation rates [25]. Finally, silicon based membranes offer high device integration capacity [7]. However, the high silicon displacement energy (20–25 eV) requires the use of a high energy sources for electron drilling in pure silicon layers [14, 26], and may result in interlayer mixing [15]. Additionally, the drilling process is effective only in rather thin membranes by this limiting the practically achievable length of the sensor channel. To overcome these limitations methodologies based on conventional nanolithography combined with wet or dry etching techniques have been employed for the formation of nanopores in membranes of SOI wafers [27, 28]. However, these methods generally involve multiple fabrication steps, such as lithography and etching of the different layers of the membrane, and therefore, are time consuming and more costly, and, in addition, could be less reproducible. To the best of our knowledge, a maskless, one-step fabrication process for the formation of nanopores in multilayered SOI-based membranes has not yet been reported.

We have developed a novel technique for the fabrication of nanopores using focused electron beam induced etching (FEBIE). In this process, chemical etching is locally accelerated by exposure to a low energy focused electron beam [29, 30]. The formation of nanopores with diameters down to 20 nm in silicon nitride membranes was achieved by localized reduction of the nitride by the electron beam, followed by etching of the silicon reduction product by the introduction of XeF2 vapor in-situ. Spinney et al. have shown that water vapor can also be used for the etching process of silicon nitride and oxide due to the decomposition of the vapor and the formation of active species by the electron beam [31]. Here we present the application of FEBIE for a single step fabrication of nanopores with a diameter down to 45 nm in SOI free standing multilayer membranes that are thicker than 100 nm.

Experimental

Free standing silicon membrane windows were fabricated on SOI substrates (ELTRAN, Canon, Japan) having either a 30 or 50 nm thick top single-crystalline silicon layer, on top of a 100 nm thick buried oxide (BOX) layer (Fig. 1a). For membrane formation the substrates were mechanically ground and polished on the back-side to a final thickness of 175 μm; samples were then sawn into 9 × 9 mm2 squares and the backside of each square was patterned to form an inner 3 × 3 mm2 square of photoresist having a 150 × 150 μm2 window in its center, using optical lithography (Karl Süss, MJB 4; ma-N 1420 resist); substrates were then etched in a cryogenic Reactive-Ion-Etch process (Sentech SI 500C; 129 standard cubic centimeters per minute (sccm) SF6, 9 sccm O2, 1.5 Pa reactor pressure, 500 W ICP, 4.8 W RF power, T = −75 °C) for 45 min for the formation of a membrane, with the BOX acting as an etch stop layer (Fig. 1b) [32]. For some of the samples the exposed BOX layer was removed (Fig. 1c-1), using buffered HF (6 % NH4F) with an etch rate of approx. 88 nm⋅s−1. Top view optical microscopy and transmission electron microscope (TEM) images of the membrane indicated the formation of a wrinkled surface (inset of Fig. 1c-1). We assign this buckling to the release of residual compressive stress that had been built into the ultrathin silicon top layer during SOI fabrication, as has been reported before [33, 34]. Electron diffraction obtained from the membrane area confirmed that the membrane consists of single-crystalline silicon with the [001] direction perpendicular to the surface (data not shown). The rest of the samples were thermally oxidized at 900 °C in dry O2 for 40 min, resulting in a ~10 nm thick silicon dioxide layer on the top side of the membrane (Fig. 1c-2), at the expense of ~5 nm of the top silicon layer.

Fig. 1
figure 1

Depiction of device fabrication. a SOI wafer after thinning down to a total thickness of 175 μm. b Free standing membrane consisting of 100 nm buried oxide and 30/50 nm single crystalline Si, formed by reactive ion etching of the back-side silicon. c 1) Silicon membrane released by selective wet chemical etching of the buried oxide layer. Top-view zoom-in images of the free standing silicon window using optical microscopy (top) and bright-field TEM (bottom) are shown. 2) Multilayered thick membrane formed by an additional thermal oxidation step. d FEBIE nanopore fabrication set-up, fabrication details are in the text. The position and distances of electron beam source (lowest part of objective lens) and XeF2 gas nozzle are indicated. The drawing is not to scale

FEBIE was obtained using a high-resolution electron beam lithography system with FEBIE capacity (E-Line, Raith, Dortmund, Germany, https://www.raith.com/), with the electron source and gas injection system positioned 10 mm and 9.5 mm, respectively, above the sample (Fig. 1d). Samples pre-cleaned by UV-Ozone treatment were exposed to 1 ms electron beam pulses at a rate of 500 Hz at a fixed location on the surface. An electron acceleration voltage of 20 kV and a beam current of ~0.44 nA were used in order to achieve maximal lateral resolution [29, 30]. In each experiment, an array was fabricated with the total electron beam exposure time varying in the range of 0.1 to 24 s for each nanopore element in the array, by varying the number of pulses used in the process. Etching was achieved by in-situ exposure to XeF2 vapor, which was introduced through a nozzle kept at a temperature of 75 °C to allow fast transport of the gas to the reaction area. The temperature in the gas reservoir was used to control the gas pressure in the chamber at (5–7 ± 0.2) × 10−6 mbar (unless otherwise noted). To minimize contamination effects, especially due to deposition of carbonaceous material, the initial chamber pressure was maintained at 6.9 × 10−7 mbar. The formation of nanopores with increasing diameter as function of process time was observed in-situ by scanning electron microscopy (SEM) secondary electrons (SE) imaging using 20 kV electron acceleration voltage for large area imaging, unless otherwise noted. High magnification SE-SEM images were recorded with 2 kV electron acceleration voltage. Nanopore sizes were estimated by pixel counting of the dark nanopore area (ImageJ software). The diameter was calculated from the extracted area assuming a round shape, averaging over two pores written under the same conditions. Atomic force microscopy (AFM) images were obtained in tapping mode (AFM - Solver P47-PRO M, NT-MDT, Ru, http://www.ntmdt.com/) using probes with a force constant of 1.74 N⋅m−1 and resonance frequency of 90 kHz (NSG 03, NT-MDT, Ru).

For electrical measurements, devices containing a nanopore were mounted in a custom-made Teflon fluid chamber providing electrolyte access to both sides of the membrane; each electrolyte reservoir was equipped with one self-made Ag/AgCl electrode (1 mm diameter silver wire, successively immersed in acetone (30 min), KOH (20 %, 30 min), HCl (37 %, 30 min), and subsequently chlorinated in 1 M HCl at 7.5 mA⋅cm−2 current density, for 5 min.). Measurements were performed between −1 and +1 V bias, in 10 mV steps at a rate of 10 mV⋅s−1, using a HEKA EPC10+ USB patch clamp amplifier with 200 kHz sampling rate and low pass Bessel filters at 100 kHz and 10 kHz, with the fluid chamber mounted inside a Faraday cage and using 100 mM KCl, 10 mM Tris•HCl buffer at pH 7.6, and 1 mM EDTA electrolyte. The results were averaged over four independent measurements, with variations of 4–7 % between the measured curves.

Results and discussion

Nanopore fabrication in silicon membranes

In recent studies we have presented the use of the FEBIE process for the preparation of nanopores in silicon nitride. In that process silicon nitride, which is resistant to XeF2 etching, becomes locally reactive due to reduction of the material by the electron beam [29, 30, 35, 36]. Since silicon is spontaneously etched by XeF2 the assimilation of this process for the preparation of nanopores in silicon membranes is not straightforward. Nevertheless, we have postulated that the electron induced etching enhancement of silicon by XeF2 [35] can be utilized for the formation of nanopores in crystalline silicon membranes. Accordingly, we have tested the applicability of FEBIE for the fabrication of nanopores in free standing 30 nm thick silicon membranes by fabricating two sets of nanopores using total electron beam exposure times ranging from 0.1 to 24 s for each nanopores set. The formation of nanopores with increasing diameter as function of process time was observed in-situ (Fig. 2a), confirming the ability to fabricate holes with variable size by the FEBIE process. The formation of nanopores was resolved already after an electron beam exposure time of 1 s, a time that is much shorter than the time required for the formation of nanopores in silicon nitride. However, FEBIE induced fast lateral etching resulted in the formation of pores with micrometric diameters around areas exposed to the electron beam for longer times. In fact, since the distance between electron exposure points was 1 μm, some of the pores, prepared under longer process time, merged. Hence, only the first seven pores (with process time of 0.5 to 10 s) could actually be resolved, allowing to determine quantitatively the pore diameter as function of process time (Fig. 2c). For these process conditions the pore diameter spanned a range from ~80 to ~300 nm. The diameter of two additional nanopores was only roughly estimated.

Fig. 2
figure 2

Nanopores fabrication by FEBIE in a 30 nm thick silicon membrane. a In-situ top view SE-SEM image of two sets of nanopores consisting of two linear arrays of pores fabricated with a XeF2 pressure of 6.5 ± 0.5 × 10−6 mbar and varying electron exposure time, as indicated in the figure. b The matrix after an additional 1 min XeF2 exposure. c Quantitative analysis of pore diameters in (a) and (b) in red square and blue diamond, respectively. Coalescence of nanopores allowed determination of nanopore diameters prepared with exposure times of 0.5–10 s only, with the diameter of the last two pores only roughly estimated (marked by empty squares / diamond in the figure). Inset: the membrane after an additional 4 min XeF2 exposure; the silicon membrane is completely etched

Two different pore diameter expansion rates were observed (Fig. 2c); a slow process with a rate of 15 nm⋅s−1 for short process times (dashed line), followed by a fast process with a rate of 110 nm⋅s−1 for longer process times (dotted line). Interestingly, the initial rate is similar to the etching rate observed for periphery etching of silicon nitride membranes [29], suggesting that under such conditions the process is mostly limited by the etching of the top native oxide layer. However, in contrary to the evolution process for silicon nitride membranes, where a secondary slower expansion rate of the pores was observed at longer process times, here the expansion rate increases and extents to much larger diameters for the silicon membranes due to fast silicon etching.

The etching diameter was smaller for array elements exposed to the electron beam for shorter times, despite the fact that the entire surface of the sample was exposed to XeF2 for the entire duration of the process. This suggests that the etching process is accelerated by the electron beam even for silicon, and hence the size of the nanopore depends on the duration of exposure to the electron beam. We note that the entire etching process was found to be faster for longer exposure time to the electron beam, as evident by increase in the slope after 6 s (Fig. 2c). However, it is important to note that an inherent spontaneous etching occurs as long as XeF2 flows into the chamber. Indeed, an image of the sample after additional exposure of ~1 min to the XeF2 gas without exposure to the electron beam indicated further expansion of the pores for the entire matrix (Fig. 2b–c). Further exposure to XeF2 eventually resulted in a collapse of the entire membrane (inset of Fig. 2c), indicating that in addition to the localized etching, a non-localized spontaneous etching process of silicon was taking place, resulting in a continuous thinning of the membrane until it was completely etched away.

Since the size of nanopores is determined both by the exposure to electron beam and to XeF2, smaller nanopores could be fabricated by using short exposure times to both. Indeed, the processing of a 50 nm thick Si membrane using a total exposure time of 10 s for both electron and XeF2 at a pressure of 5.3 × 10−6 mbar, resulted in the formation of a nanometric pore (inset of Fig. 3). The shape of this nanopore was found to be oval with 51 nm and 25 nm long and short axes, respectively. This is probably due to the shape of the beam or its tilt with respect to the membrane [37], or alternatively due to the wrinkled surface of the membrane (Fig. 1c-1). We note that the overall area of the nanopore was found to be about an order of magnitude smaller than that of nanopores prepared using 10 s e-beam exposure time but with longer duration of XeF2 exposure (Fig. 2). The overall nanopore expansion rate for such 10 s single nanopore drilling process was, hence, estimated to be 3–5 nm⋅s−1.

Fig. 3
figure 3

Current - voltage curve of a nanopore drilled in a 50 nm thick silicon membrane using the FEBIE process. Inset: top-view SE-SEM image of the nanopore fabricated using 10 s e-beam exposure time (recorded using 10 kV acceleration voltage). The dotted line marks the boundary of the pore

The formation of a fully penetrating pore allowed us to measure ionic currents passing through the nanopore. A linear ohmic behavior was observed for the ionic current flow through the membrane (Fig. 3). This symmetric behavior indicates pore symmetry in the axis perpendicular to the membrane [38]. The conductance was estimated from the slope of the curve to be 6.2 ± 0.4 nS, a value that is in the expected order of magnitude for such nanopore size.

Nanopore fabrication in oxide-silicon-oxide membranes

Once the fabrication of nanopores in silicon membranes by the FEBIE process was established, the extension of this process to multilayered membranes was studied. For these studies, the same types of SOI membranes were used. However, the buried oxide layer was not etched prior to the process (Fig. 1c-2). In addition, a top thermal oxide layer was grown. Similar to silicon nitride, nanometric pores could be drilled through this oxide layer by the FEBIE process [29, 30], while masking the unexposed underneath silicon layer in order to minimize its spontaneous etching by the XeF2. We note that the resulting membrane thickness (~130 nm) was large comparing to the thickness of membranes usually used for nanopore drilling, and that the thickness of both oxide layers was significantly thicker than that of a native oxide layer. A set of nanopores were fabricated in these membranes, with total exposure time varying between 4 and 28 s (Figs. 4 and 5a). A faint nanometric circle was observed in the high resolution SE-SEM images taken from the bottom side of the membrane for nanopores drilled with a process time of 8 s (not shown). The size of this circle increased and its contrast became darker with longer process times. Saturation of the dark contrast of the nanopores for drilling times longer than 14 s indicated the formation of fully penetrating nanopores for such process times (Fig. 4a). A diameter of 45 nm was observed for the forming nanopores after 8 s. A gradual increase in the nanopore diameter with process time, from 45 nm to 80 nm, with a rate of ~3 nm⋅s−1 was observed (Fig. 4b). This behavior is similar to the behavior previously observed for nanopores etched in silicon nitride by FEBIE [29, 30]. Hence, it is concluded that a similar mechanism is controlling the nanopore expansion. This is not surprising since the etching mechanisms of silicon oxide and silicon nitride are similar; in both cases the electron beam has a dominant role of locally reducing the membrane’s material, initiating a fast silicon etching by the XeF2 that results in the formation of nanopores. While nanopores with diameters in the range of a few tens of nanometers were fabricated in membranes of similar thickness before [24, 39, 40], this was achieved here in a single step process. We further note that further optimization of the process parameters is anticipated to result in even smaller nanopores.

Fig. 4
figure 4

Nanopores fabricated in an oxide-silicon-oxide sandwich membrane. a High magnification SE-SEM images at the top and back sides of the membrane at different fabrication times, as indicated. The white contrast from the back side of the membrane is probably due to charging of the oxide layer. b Quantitative analysis of the diameter of the nanopore extracted from high resolution top and backside SEM images. The results are the average of two measurements of the diameter in two perpendicular directions. Note that the nanopore fabricated with 22 s electron exposure time was omitted from the analysis since it showed anomalous size behavior and deviation from the general trend, probably due to local surface contamination. Such local contamination also prevented imaging the nanopore prepared with 26 s exposure time from the bottom

Fig. 5
figure 5

Quantitative analysis of the diameter of the peripheral, shallow cavity as a function of electron dose. a Top-view SE-SEM image of a line of nanopores fabricated with different total exposure times as indicated. b AFM cross-section profiles of the nanopores. Cross sections at intermediate electron exposure times were omitted for clarity. Insets: AFM topography images obtained at 4 and 14 s, top and bottom, respectively. c Cavity diameters as measured from high resolution top view SE-SEM images and topography AFM scans. Each data point is an average of two measurements of the diameter in two perpendicular directions. Note that the nanopore fabricated with 22 s electron exposure time was omitted from the analysis since it showed anomalous size behavior and deviation from the general trend, probably due to local surface contamination (cf., Fig. 5a)

Both SEM and atomic force microscopy (AFM) topography images revealed the formation of a shallow cavity around the nanopores (Fig. 5), similar to the shape of nanopores etched in silicon nitride membranes [29, 30]. Their depth at the top of the membrane was found to reach a maximal value of ~ 30 nm (Fig. 5b), indicating that they were formed by etching of the top oxide and the underneath silicon layer only, with the bottom oxide layer apparently remaining intact. Some etching of the oxide at the bottom of the membrane may have also occurred, but this process seems to be less effective (Fig. 4a), probably due to the diverging profile of the electron beam in the material. The diameter of the shallow cavity was measured to be several hundreds of nanometers (Fig. 5c). Furthermore, it was found to become generally smaller around nanopores prepared with longer electron exposure times (above 15 s). This behavior is attributed to an etching effect combining different exposure times of electrons and XeF2; in the process presented here, nanopores were fabricated in a sequential order with electron exposure time increasing between processes from left to right. Therefore, apertures fabricated with shorter electron exposure times were subjected to longer “post writing” exposure to XeF2. Apparently, the electron exposure time was the limiting step determining the extent of the cavity etching for electron exposure times smaller than 10 s. However, for apertures fabricated later on in the process, despite the increased electron beam exposure time, chemical etching by XeF2 became the limiting step, therefore a decrease in the extent of the cavity was observed with increasing electron exposure. An important consequence of this complex process was the appearance of two typical nanopore profiles (Fig. 5b and Scheme 1). For nanopores fabricated with shorter electron exposure times but longer exposure to XeF2, the nanopore is smaller but at the same time is surrounded by a wide shallow, peripheral cavity with a depth of about 30 nm, resulting in the formation of a funnel-like shaped nanopore. However, for nanopores fabricated with longer electron exposure times, the nanopore becomes larger, and probably penetrates the entire membrane, but the peripheral shallow cavity is much smaller, and may even be avoided completely, resulting in the formation of a narrow conical nanopore. These results indicate the ability to form large aspect ratio cavities and conical nanopores, which could be very well suited for certain bio-sensing applications, as in the case of nanopores made in polymers by an ion track etching process [41]. The AFM cross-sections further confirmed that the nanopores penetrate to the underneath silicon layer, to depths between 40 and 70 nm with increasing electron exposure time (Fig. 5b). We note that the AFM depth profile is limited in determining the actual depth of the nanopores due to the shape of the tip; hence, the actual depth of the nanopore is presumably larger than estimated from these images. Due to this limitation, AFM imaging could not be used to confirm drilling of the nanopores through the entire membrane.

Scheme 1
scheme 1

Possible shapes of nanopores prepared by FEBIE in an oxide-silicon-oxide sandwich membrane

As a final point we would like to note that further exposure of such sets of nanopores to XeF2 resulted in further expansion of the shallow cavity region (data not shown). In particular, a post process exposure of the membrane to XeF2 for ~ 7 min resulted in the formation of shallow cavities with diameters of ~ 1.3 μm for nanopores prepared with electron exposure times larger than 6 s. An extensive expansion of the apertures fabricated with 4 s electron exposure time after the second exposure cycle was also found, but its magnitude reached a diameter of only 430 nm, which is much smaller than the diameter obtained for other pores in the matrix. These results indicate that the formation of the cavity is initiated by etching of the silicon oxide top layer, a process that is promoted by the electron induced reduction of this layer and hence depends on the electron dose. Subsequent etching of the underneath Si layer is then initiated by its exposure to XeF2. This fast etching process extends both vertically and laterally due to continuous exposure to XeF2. Nevertheless, while the process is stopped by the bottom oxide layer, lateral etching depends on the duration of exposure to XeF2. As a result, after the second etching step, which was quite long, the size of most of the cavities extended to a similar size. It should be, however, noted that the lateral etching can also be enhanced by the electron beam, hence for a small electron dose the first step of oxide reduction was suppressed, resulting in a smaller initial cavity and slower lateral etching. We would like to note that despite the excessive exposure to XeF2 the membrane did not collapse, contrary to the case where a single layer silicon membrane was used. This is due to the protective nature of the oxide layers which prevents continuous non-localized etching of the membrane.

Conclusions

This work presents the use of FEBIE to prepare nanopores and cavities in thick multilayered silicon based membranes in a single step process. We found that nanometric pores with diameters ranging from 45 to 80 nm, depending on the total electron-beam exposure time, can be formed, with the duration of exposure also determining their depth. Beyond controlling the diameter of the nanopores, their shape can be tuned between a conical and funnel-like shape (Scheme 1), based on the duration of exposure to XeF2. The results demonstrate the flexibility of the FEBIE approach, allowing single step pore sculpting in various materials and their multilayers, with relatively large thicknesses.

We expect that this process for the formation of nanopores with high aspect ratio in multilayered membranes will become extremely useful for the realization of novel nanopore bio-sensing schemes by lateral control of the nanopore properties. For example, local functionalization of the nanopore surface could be controlled by, e.g., selective self-assembly. The silicon layer could further be used as a gate to control the electric potential landscape in the nanopore. Such modifications can be used to slow down analyte translocation or capture it within the nanopore, further increasing the sensitivity of the sensor. The possibility for integrating such nanopores into current silicon technology will make the suggested devices especially suited for lab-on-chip applications.