Focusing on the core research goals of nanoprecision manufacturing, nanoscale manufacturing, and cross-scale manufacturing in nanomanufacturing, this Major Research Plan is aimed at subdivided areas such as nanomaterial manufacturing, special nanoprocessing methods, nanodevice integrated manufacturing, and nanometering and measurement. The corresponding layout and project support have been achieved, and breakthroughs in principles and methods have been achieved, providing support for the overall development of Chinas nanomanufacturing field.

7.1 Preparation and Application of Functional Nano-Materials/Structures

In response to the major needs of nanomaterials manufacturing, this Major Research Plan has carried out project deployment in the “functional nanomaterials to construct nanostructures”, and has developed preparation technologies surrounding precious metal nanomaterials, carbon materials, semiconductor nanomaterials, polymer materials, etc., and has formed serving such as high-sensitivity biosensing, high-precision bioimaging, high-efficiency energy conversion and collection, has established a typical manufacturing method based on nano-printing functional materials, and has realized the complete process chain of the mass production from material preparation to prototype devices.

7.1.1 Preparation of Functional Nanomaterials/Structures

The research team of Jilin University [1,2,3] has taken advantage of the complementarity of polymer materials and metal and inorganic non-metallic materials to propose a technical scheme for constructing two-dimensional ordered micro-nano structures. The hydrothermal synthesis method is used to prepare low-toxic, high-efficiency fluorescent polymer carbon dots, and polymer molecules that are responsive to solvents, ions, pH and cross-linking are introduced into the alternating layers to obtain one-dimensional photonic crystals with different response characteristics. Based on one-dimensional photonic crystals, silver ions are reduced in situ by ultraviolet light to generate a three-dimensional micro-nano structure in a one-dimensional multilayer film structure; a two-dimensional ordered micro-nano structure with non-spherical symmetry and unidirectional infiltration is constructed, forming a one-way valve device of a microfluidic system; using colloid etching technology combined with SI-ATRP in-situ polymerization to prepare a two-dimensional multi-level micro-nano ordered structure to construct a multiscale, gradient feature protein pattern and to prepare single-stranded DNA nanocone arrays and two-dimensional multi-level DNA patterned micro-nano structures that can be used for target DNA sensing.

The research team of the Chinese Academy of Medical Sciences [4,5,6] has fabricated high-precision, cross-scale planar gold nanoarrays based on DNA templates, enriching the theoretical foundation of nanomanufacturing and the manufacturing methods of technology and equipment. Through different modes of action such as electrostatic force, chemical bonding and biological recognition, the controllable self-assembly of gold nanorods has been realized, and the electromagnetic field distribution and Raman enhancement effect of gold nanorods have been studied. The research work has received the continuation of this Major Research Plan, has developed a new design method for universal nano-assembly, and has constructed a drug-loaded chitosan-gold nanorod assembly. The evaluation results of in vitro cell experiments show that the side-by-side nanorods have a good effect in tumor treatment, opening up a new treatment approach for tumor treatment research—chemotherapy-photothermal therapy integration, and it is effective for large molecular weight molecules (such as polyethylene glycol with molecular weight 1000–12,000), metal ions (such as mercury ion, the detection limit of 0.1 nm), small molecules (such as theophylline, the detection limit of 0.05 μm) have been tested with high specificity and sensitivity.

The research team of Sun Yat-sen University [7,8,9,10] has that established an electrochemical preparation method for large-area orderly growth of nanosemiconductor materials, and has realized the preparation of nanosemiconductor materials such as/CdTe, PbS/PbTe ZnO/ZnS, ZnTe/CdO, CdS on macro-scale substrates such as Cu, Ni, Ti, and ITO, playing an important role in promoting the development and application of nanosemiconductor materials science. The research team has Synthesized MnO2/Mn/MnO2 sandwich structure of nanotube arrays, and has discussed its application in the field of energy storage; has proposed the preparation method of TiN nanowire array electrode material, and has used solid polymer electrolyte to take the place of liquid electrolyte to improve cycle stability. After 15,000 cycles, its stability remains above 83%. This research work has been supported by the continuation of this Major Research Plan, and has carried out the nanoscale manufacturing of large-area ordered transition metal-based energy storage materials based on electrochemical methods and the research of flexible energy storage devices. In the research of hybrid supercapacitor anode materials, new vanadium oxide anode energy storage materials and hybrid supercapacitors, manganese-based flexible wearable asymmetric energy storage devices, stretchable flexible supercapacitors, etc. have made progress. Some representative results are shown in Fig. 7.1.

Fig. 7.1
A set of micrographs, images, molecular structures, and graphs at 50 and 20 nanometers, and 100 and 10 micrometers. They are divided into sections of sensitive structure based on porous silicon, organic supramolecular sensitive structure, and sensitive structure based on M O F.

Some representative results of nanomaterial manufacturing

7.1.2 Nano-Material Hybrid Printing Technology and Application

Based on the manufacturing of functional nanomaterials, the research team of the Suzhou Institute of Nanotechnology and NanoBionics, Chinese Academy of Sciences [11,12,13,14] has developed a flexible transparent conductive film nanomaterial hybrid printing manufacturing technology, which has broken through the traditional technology of conductivity and penetration. The problem of mutual restriction of rates has broad application prospects in the fields of large-area and low-cost manufacturing of flexible displays, light-emitting, thin-film solar cells, low-cost radio frequency identification, sensors and other fields. The team has studied the uniformity and consistency of the manufacturing technology of large-area printed nanosensor arrays, laying the foundation for the further realization of large-scale mass production of electronic devices. A new hybrid printing technology combining nanoimprint and nanoink filling, the material was invented and a variety of printing processes from inkjet printing to screen printing and gravure printing were established. This invention won the 2014 China Patent Gold Award; in terms of industrial promotion, developed a printed nanosilver metal grid flexible transparent conductive film touch sensor which was applied to touch screens of mobile phones and tablet computers; printed nanosilver flexible transparent conductive film has achieved mass production, electronic skin sensors and formaldehyde sensors have reached the level of practicality, and product development has been carried out in related companies. Part of the result is shown in Fig. 7.2.

Fig. 7.2
2 photographs labeled A and B. Photo A is of a machine attached to a monitor with a big roller pin-like structure at the front. And photo B is of a person in a hazmat suit operating the machine.

Nano-printing manufacturing technology of mass-production electronic devices (a Printed field-effect transistor functional circuit; b Printed transparent conductive film touch sensor)

7.2 Maskless Manufacturing of Nanostructures

With the funding and support of this Major Research Plan, and focusing on the needs of nanomanufacturing, Chinese researchers have conducted fruitful explorations in nanomachining, near-field lithography, maskless lithography, nano-milling, and multi-photon processing, understanding the processing principle of maskless manufacturing technology, and forming unique nanostructure manufacturing methods and technical routes, which enrich the technical connotation of my country’s nanomanufacturing.

7.2.1 Nano Cutting Mechanism and Ion Implantation Auxiliary Processing

According to the basic theory and control problems of high efficiency and low damage in nano cutting manufacturing, the research team of Tianjin University has carried out theoretical research on nano cutting and has developed a nano cutting with independent intellectual property rights. New cutting technology and new methods provide an important reference method for nanoprecision complex surface machining and play an important supporting role in the development of my country’s advanced manufacturing industry [15,16,17,18].

The mechanism of material removal is studied from the perspective of micromechanics, the nanocutting process is modelled and mesoscopically simulated using the micro-macro mosaic theory, and the three-dimensional molecular dynamics and multiscale analysis method and simulation platform of nanocutting are established, and model differences and size effects in the cutting process and the nanocutting is systematically analyzed. It has proposed the nano-pushing mechanism of material removal in the nanocutting process, and has explained the reason for the formation of nanolevel precision surfaces; has proposed a new method of ion-implantation-assisted nanomachining; has developed the theory and technology of surface nitriding-assisted machining methods and ultrasonic-assisted cutting methods. The research effectively reduces the wear of diamond tools and realizes ultra-precision cutting of carbon steel materials; researches the preparation method of nanoedge cutting tools based on focused ion beams, and realizes the high-efficiency and high-precision preparation of nanoedge micro-tools; proposes nano the minimum cutting edge radius of the tool that generates chips during cutting is 10 nm, which provides a basis for the accuracy of tool preparation; a new method for ion implantation to change the surface properties of the processed material and achieve high-efficiency nanocutting has been developed, and the stable cutting of the thinnest chip is 6 nm. Part of the result is shown in Fig. 7.3.

Fig. 7.3
2 images labeled A and B. A has the images of nano-cutting simulation, common and modified silicon in different color gradients where the processing stress is reduced, increasing the depth of the brittle plastic transition and of the cutting edge worn evenly. And B has the image of a round dark material in an upright position on a page. It reflects the text and has the label introduction on the bottom right.

Nano-cutting method of nanostructure (a Cutting performance changes after ion implantation; b Machining results of typical nanocutting devices)

7.2.2 Rotary Near-Field Lithography of Nanostructures

The research team of Tsinghua University has developed a new type of rotary near-field lithography method, which uses the excellent focusing characteristics of the surface plasmon lens in the near-field range, combined with the air flotation produced for the lithography head forms of high-speed rotation of the workpiece, so as to form a stable near-field condition to realize high-resolution, high-efficiency, large-area nanostructure processing [19,20,21].

The team thoroughly has studied the propagation characteristics of surface plasmons in the near-field range of 30 nm, the theory of rarefied gas lubrication under nanogap, the law of surface force action, and the rapid reaction mechanism of photoresist in nanosecond intervals, and studied head dynamics design theory, has broken through the core technology of rotary near-field lithography manufacturing, established a nanopattern rotary near-field lithography prototype manufacturing system, and has achieved low-cost manufacturing and high efficiency for patterns with a certain degree of complexity and a characteristic line width of 50 nm. With the speed of 11.3 m/s, line pattern processing at 16.85 nm and half-pitch processing at 50.71 nm and 75 nm have been achieved. Part of the result is shown in Fig. 7.4.

Fig. 7.4
A set of 9 micrographs labeled A through I with round, spiral, and other designs at 20, 2, and 1 micrometers, and 500, 100, 160, 11, and 30 nanometers.

Processing result of surface plasmon lens

7.2.3 Maskless Etching Fabrication of Nanocones

The research team of the Institute of Physics, Chinese Academy of Sciences, aiming at the low-cost consistency of nanocones and the bottleneck of mass manufacturing, has realized the surface nanocone’s unmasked plasma etching manufacturing technology, by controlling the temperature field, ion energy and surface ion sputtering process to optimize the key manufacturing process and to realize the large-area, uniform, universal and controllable manufacturing of surface nanocones [22,23,24].

The team has developed a maskless plasma etching device, proposed an optimized design method for key components such as dual plasma regions, filament arrangement shape, and bias control power supply, and has developed an independent technology for maskless etching of surface nanocones, obtaining the key process of surface nanocone without the mask and controllable fabrication, and realizing the large area (4 inches), density uniformity (5%), controllability (cone height 0.2–3 μm, cone angle 15°–45°, nanocone cone density above 106), universality (suitable for a variety of materials), patterning and batch manufacturing. The surface nanocone photoelectric properties and applications are studied, and wide-band super anti-reflection properties (reflection rate less than 1%), excellent and stable electronic field emission properties (current density exceeding 10 mA/cm2), wide-band optical detection and highly sensitive sensing (sensitivity increased by 5 times) are achieved, having enhanced surface-enhanced Raman scattering effect (field enhancement factor >108 and detection capability >5 nm) and controllable super-wetting characteristics (from super-hydrophilic to super-hydrophobic and super-adhesive characteristics), and can be used as a three-dimensional electrode structure application in supercapacitors and lithium batteries, thus the overall performance of energy devices is greatly improved (can be recycled 10,000 times). Some results are shown in Fig. 7.5.

Fig. 7.5
3 images labeled A, B, and C. A has the flow diagram of C H subscript 4 over H subscript 2 forming various surfaces. B has 4 S E M images of Silicon nanocone + Diamond, Silicon nanocone + A l subscript 2 O subscript 2, Silicon nanocone + S i C, and Silicon nanocone + graphene. And C has 3 S E M images of Silicon cone + A g film with different thickness and A g Nanocone along with 4 color gradient graphs in a foreign language.

The surface nanocone structure can be controlled without a mask (a The principle of formation of nanocone by unmasked plasma etching; b The structure of silicon nanocone is used as a functional template; c Surface enhanced Raman scattering characteristics of metal nanocone array structure)

7.2.4 Principles and Methods of Constrained Etching for Nano-Features

In response to major requirements such as large-scale integrated circuits and modern optical precision systems, researchers have proposed original constrained etching principles and methods, which are applied to the fields of nanoprocessing of high-quality optical materials and the flattening and hardening of the copper interconnection surface of large-size silicon wafers, and the basic theories and methods for restricting etching nanoprocessing equipment have been established.

The precision manufacturing team of Dalian University of Technology [25,26,27,28,29] aiming at the problem of flattening the surface of large-size silicon wafer copper interconnections with low-strength Cu/Low-k structures in the manufacturing of very large-scale integrated circuits, proposed a new stress flattening method based on the principle of constrained etching processing and developed three original flattening methods: an electrochemical polishing method based on diffusion control reaction, film constrained etching polishing method based on polymer, and chemical polishing method based on light-induced constrained etching, achieving large area (mm/cm level) flattening processing.

Aiming at the large-area uniform processing problem caused by the micro-nano gap formed by the electrode and the surface of the workpiece, an etching processing method sensitive to the gap has been innovatively developed. By designing and regulating the reaction process in the large-area micro-nano gap, the diffusion process in chemical confinement etching has been accurately controlled, and a gap-sensitive etching processing method that does not rely on constraining agents has been developed to achieve large-area constrained etching planarization; an electrochemical polishing method based on diffusion control reaction is proposed to reduce the roughness Ra from 100.5 nm to 3.6 nm taking the rough copper surface polishing as the research object and under the condition of 0.2–1.1 V triangular wave voltage, 0.5 μm processing gap and 24 min processing conditions. It has developed a large-area smooth electrode preparation and nanoprecision chemical etching platform, has realized the flattening of the copper surface in the 50 mm area, the PV value dropped from 260 nm to 120 nm, and the roughness Ra value dropped from 82 nm to 4 nm; innovatively has proposed an electrochemical etching planarization method based on redox polymer nanofilm, using redox hydrogel polymer to build a large-area tool electrode surface and a micro-nanolevel gap between the surface of the workpiece, and using the unique physical and chemical properties of redox water gel polymer to control the reaction process in this special space and realize nanometer-precision etching processing. The glassy carbon electrode with surface roughness Ra of 3.8 nm is used as the tool electrode to realize 50 mm for the flattening of the surface of the regional copper workpiece, with the PV value decreasing from 3.95 μm to 1.93 μm, and the roughness Ra value decreasing from 2.6 nm to 2.2 nm. Part of the result is shown in Fig. 7.6.

Fig. 7.6
6 images labeled A through F. A, B, and C has diagrams with layers labeled as tool tray and Electroinduction, liquid etchant layer, redox polymer nanofilms, transparent tool tray, and photoinduction. All 3 have a circuit-like layer at the bottom. D is a diagram of an electrochemical workstation with F e superscript plus concentration and a working electrode that processes C u superscript 2 plus, F e superscripts 3 and 2 plus, and C u. E is a photograph of a cylindrical device attached to wires. And F is a photograph of a Petri dish-like round case with some substance in the middle.

Constrained etching chemical planarization on the surface of a large-area copper interconnect layer (a Electrochemical liquid layer system polishing; b Electrochemical film layer system polishing; c Photochemical liquid layer system polishing; d Schematic diagram of copper EGCP polishing; e EGCP prototype system development; f Physical photos of electrodes after polishing)

The research team of Shanghai Jiaotong University [30,31,32], aiming at the demand for nanomachining of hard optical materials in the process of 3D bas-relief patterning in the manufacturing of micro-nano optical components, focusing on the basic theories and keys of restricting etchant layer technology and electrochemical nanomachining technology, has carried out related research on nanomanufacturing, thus the processing resolution of GaAs, quartz and other optical materials reaches the nanoscale, forming a set of simple process, suitable for a variety of materials, nanoprecision copying and processing of bas-relief array patterns. The new equipment has promoted the progress of 3D micro-nanomanufacturing technology. Aiming at the Br2/L-cystine constrained etching system of GaAs, using the feedback mode of the scanning electrochemical microscope and the collection mode experiment, combined with COMSOL multiphysics simulation, the etching reaction and the constrained reaction rate constant are determined. The main factors affecting the machining accuracy and the uniformity of large-area machining have been explored by simulation and experiment. By optimizing the component concentration of the etching system and the machining process parameters, the high production rate replication processing of nanometer precision of the microlens array on GaAs is realized on the developed machining device; in addition, electrochemical wet stamp constrained etchant layer technology and metal-assisted constrained etchant layer technology have been developed, three-dimensional micro-nano structures on semiconductors and metals have been processed, including refractive and diffractive micro lens arrays and surface-enhanced infrared components. Some results are shown in Fig. 7.7.

Fig. 7.7
5 images labeled A through E. A has a diagram of a set of stages which include the Macropositioning stage, Micropositioning stage, and Tip-tilt stage, among others of an electrochemical station. It also includes 3 photographs of a device connected to wires. And B through E are various squiggly patterns connected to a block.

The micro/nano structure replicated by CELT on GaAs, nickel, aluminum, and silicon substrates by electrolysis (a CELT micro-nano processing system; b Silicon template pattern; c GaAs pattern; d Pattern on aluminum; e Graphic on nickel)

7.2.5 Multi-Photon Fabrication of Metal Micro-Nano Structures

The research team of the Institute of Technology of Physics and Chemistry of the Chinese Academy of Sciences has explored the control principle of nanoscale multi-photon photochemical reduction reaction based on the nonlinear optical effect-multi-photon effect for the processing and preparation of three-dimensional metal micro-nano structures, and has developed the new method, new technology and new equipment principle of an original and transcendent optical diffraction-limited three-dimensional metal micro-nano structure processing, providing important support for the development of China’s cutting-edge technology research and high-tech applications [33,34,35].

The team has proposed a new method of dual-wavelength, dual-beam, multi-photon metal nanostructure processing, which has solved the problem of the diffusion of metal nanoparticles generated by multi-photon photochemical reduction during processing, and has realized metal structure processing with a feature size of 28 nm. It provides an effective way for the low-cost, large-area, and rapid manufacturing of metal nanostructures and devices; in addition, it reveals a new micro-nano processing mechanism that regulates the nonlinear interaction between light and matter, and proposes equal arc scanning methods and shell scanning technology, the processing accuracy having been increased from 100 nm to 20 nm, and the surface roughness of the plane and curved surface modeling being less than 5 nm. Some results are shown in Fig. 7.8.

Fig. 7.8
3 images labeled A, B, and C. A and B are micro-nano structures with double arrows in the middle and diameters of 34 and 28 nanometers at 200 and 100 nanometers, respectively. C has a diagram of a glass substrate with an A u top. It also has a diagram of a computer connected to a Femto laser and a sample via shutter and Piezostage. It reflects data through various lenses, mirrors, and filters to connect to a monitor via C C D. And a set of 4 materials with square holes is given below.

Multiphoton processing of metal micro-nano structures (ab Manufacturing of silver nanowires with line widths of 34 nm and 28 nm; c Manufacturing of two-dimensional chiral complementary metamaterials)

7.3 New Nano Device Manufacturing

During the implementation of this Major Research Plan, focusing on the design and manufacturing of new nanodevices, Chinese researchers have conducted in-depth research in the fields of two-dimensional material (such as graphene, molybdenum disulfide) nanodevice manufacturing and three-dimensional nanodevice manufacturing, forming several original manufacturing principles and manufacturing methods.

7.3.1 Principle and Manufacturing of Two-Dimensional Material Devices

In response to the challenge of processing functional devices below 10 nm, the research team of Nanjing University of Aeronautics and Astronautics has combined theoretical calculations and high-resolution electron microscopy in-situ observations and has found that the electron beam caused the adjacent pores of the molybdenum disulfide monolayer to undergo spontaneous phase change before polymerization, resulting in size generation homogeneous molybdenum sulfide nanobelt with a width of only 0.35 nm. The nanobelt is more stable than the complete molybdenum disulfide base material under electron beam irradiation, so it can be generated neatly and in a large range within the electron beam irradiation range. Hopefully, it can be used as a template to change the “top-down” nanomanufacturing technology from 10 nm node breaking through to the sub-nanometer level [36], as shown in Fig. 7.9 (a). This method also predicts the sub-nanometer template processing capabilities of a variety of low-dimensional materials with similar capabilities. After the publication of the paper, it has attracted rapid international attention. Researchers at the Oak Ridge National Laboratory in the United States has called it “Pioneer Results”, and on this basis, sub-nanometer manufacturing has been controlled in a controlled manner. This technical method provides a new way for “top-down” to control sub-nanostructures, and has been invited by Nat Nanotechnol to write News and View [37].

Fig. 7.9
3 images labeled A, B, and C. A has a set of 6 sub-nano structures with layers and cracks at 0, 23, 35, 59, 89, and 118 seconds. B has a diagram of the in and out of several small circular structures connected to a color gradient scale and a voltage. The scale is labeled as High, Low, and Potential from top to bottom. And C is an image of a light-colored strip with hexagonal patterns running through the middle. There is a square block in the center. The strip is labeled as L and R on the left and right and is connected to a negative and positive voltage.

Sub-nano-templating processing capabilities of low-dimensional materials and the construction of new graphene devices, as well as wave potential and drag potential (a Molybdenum sulfide sub-nano structure; b Wave potential in graphene; c Drag potential in grapheme)

The team has discovered for the first time the possibility of the construction of new graphene devices and the possibility of water-voltage effects such as wave potential and drag potential [38, 39]. By improving the deposition preparation process of large-area high-quality graphene and the design of energy conversion devices, systematic experiments and theories have clarified the huge experimental results differences and mechanism contradictions in the research of carbon nanomaterials capturing fluid energy since 2001. It is found that when graphene is inserted into the ion-containing solution, a voltage will be generated across the graphene. This phenomenon is named “wave potential”, as shown in Fig. 7.9 (b).

When the graphene is inserted into the solution at a uniform speed, the fluctuation potential is proportional to the length of the graphene in the inserted solution. Combining first-principles calculations have revealed the mechanism of this phenomenon: the response speed of the anions near the liquid surface to the cation adsorption/desorption on the graphene surface lags behind the movement speed of the electrons in the graphene, resulting in a potential difference in the graphene. This potential difference is proportional to the speed of movement and is related to the species of ions. Based on the graphene wave potential work, it has been found that when the ion-containing droplet is dragged on the surface of the graphene, there will be two changes in the graphene along the direction of the droplet. This phenomenon is named “drag potential”, as shown in Fig. 7.9 (c), and this drag potential is proportional to the movement speed and number of droplets, which can be used to detect the movement speed of droplets on the graphene surface [40, 41]. The wave potential and the drag potential reveal the principle of electricity generation by the movement of the electric double layer boundary. They have been commented by the British Institute of Physics Nanotechnology Network as “expanding the 200-year-old electrokinetic theory established since 1807”, laying the foundation for photovoltaic energy capture and the design of the sensor.

7.3.2 Cross-Scale 3D Interconnection and Integrated Manu-Facturing of Nanostructures and Devices

In response to the needs of cross-scale structures and devices for three-dimensional nanooperation and interconnection technology, the nanomanufacturing team of Harbin Institute of Technology has proposed an innovative method for measuring and three-dimensional operations of cross-scale structures and devices based on dual AFM probes, has constructed a prototype of the device and has realized the three-dimensional arrangement, operation and interconnection of nanostructures and devices, and has provided technical support for nanoelectronics manufacturing and NEMS manufacturing [42,43,44,45]. For the three-dimensional operation and assembly of nanowires/tubes, we have designed and established a dual-probe AFM-based nanorobot system and control system with independent intellectual property rights, which have realized the three-dimensional nanowire/nanotube operation, assembly and interconnection. As shown in Fig. 7.10, on the developed nanorobot system, simulation calculation and measurement including precise calibration of the system, adhesion force and friction force have been carried out, and the three-dimensional operation and assembly experiment of nanowires of 50–200 nm have been successfully realized; in addition, for three-dimensional nanomanipulation and assembly, the force modulation mode dip pen nanoetching technology (FM-DPN) has been carried out, and the quantitative relationship between the diameter of the DPN node and the force between the tip and the substrate has been obtained, and the interconnection methods between different dimensional nanostructures have been explored.

Fig. 7.10
2 images labeled A and B. A is a photograph of a machine in a glass case with round windows labeled as a Microenvironment and a tube connected via wires as a Vibration isolation platform and oxygen input. The 3 devices above it are labeled Nanopositioning control system. And it has a monitor and a keyboard on the right. B has 4 diagrams of a system labeled Probe 1, Probe 2, Piezoelectric scanner, and the Nanopositioning platform. The 2 probes are at various positions on top throughout the 4 diagrams.

Three-dimensional manipulation and assembly of nanomaterials based on AFM probes (a The physical object of the nanorobot system; b The process of three-dimensional nanomanipulation and assembly)

The research team of Huazhong University of Science and Technology has conducted in-depth research on the design, manufacturing methods and principles of carbon-based and silicon-based bionic micro-nano integrated structures. For the three-dimensional multi-level multi-layer micro-nano structure of the biological surface, the design optimization of the cross-scale bionic micro-nano structure has been carried out, and the cross-scale micro-nanomanufacturing technology of the bionic three-dimensional multi-level multi-layer micro-nano structure has been developed [46,47,48,49]. As shown in Fig. 7.11, the research is carried out for the large-scale manufacturing of high-performance composite micro-nano electrode arrays, and large-area C-MEMS/CNT/MnO2 composite three-dimensional micro-nanoporous electrode array structure has been prepared, realizing high-performance new micro-supercapacitors, and providing new micro-nano integrated manufacturing principles and methods for the application of nanotechnology in the field of micro-energy.

Fig. 7.11
4 images labeled A, B, C, and D. A has 4 diagrams of a shooting cooper, carbonization, nanowire growth, and alloying with the layers labeled as S i, C u, alloy, S i O subscript 2, S U 8, carbon, and silica nanowires. B through D are micrographs of various shapes at 20, 50, and 10 micrometers.

Micro-nano integrated structure design and large-area manufacturing (a Micro-nano structure generation mechanism; b Al wrinkles; c Nanowire and PPY integrated structure; d Carbon electrode and nanowire integrated structure)

7.4 Precision Measurement and Traceability in Nano-Manufacturing

The nanometering and measurement is important links in the precision guarantee of manufacturing methods, manufacturing processes, and manufacturing equipment in nanomanufacturing, and is one of the key elements of nanomanufacturing. This Major Research Plan has carried out project deployment for metrology and measurement in nanomanufacturing, ensuring the overall development of China’s nanomanufacturing system.

7.4.1 Nano/Sub-Nano Error Transmission and Traceability

The research team of Xi’an Jiaotong University has researched metrological traceability and testing theory in nanomanufacturing, aiming at standard evaluation systems for the preparation and measurement comparison of nanosamples, the analysis and characterization of nanoroughness, the relationship between nanoroughness and nanodevice/system performance, and the measurement of geometric characteristic parameters of the sidewalls of nanostructures [50,51,52]. Nano step height samples of 8 nm, 18 nm and 44 nm have been prepared. The prepared series of step height samples have been used as standard samples of this scale and became the benchmark for nanometer measurement/traceability; a mathematical model for evaluating nanoroughness has been established, the nanometer roughness evaluation model has been established, the structural features and functional features have been extracted and analyzed, and the measurement method of nano/sub-nanometer roughness of nanostructures has been established; the preparation method of AFM probe-based on carbon nanotube has been proposed, and the large aspect ratio nanostructure measurement method based on carbon nanotube the probe has been developed, realizing the measurement of large aspect ratio nanostructures. Some results are shown in Fig. 7.12.

Fig. 7.12
2 images labeled A and B. A has an illustration of 3 sheets with flat and sharp slanted structures at 8, 18, and 44 nanometers. B has the structure and measurement of the L E R and L W R model from C O. A graph with an upward trend is given on its right.

Metrological traceability and testing based on nanosamples (a Step height model calibrated by German PTB; b Preparation and characterization of LER/LWR model)

The research team of the University of Science and Technology of China has conducted a systematic analysis of the influencing factors of SPM nanometer measurement and has explored researches on measurement and compensation, principle and application of atomic grating. The SPM drift to restrict the scanning probe microscope (SPM) nanometer measurement and nanofabrication scanning rate and drift. On this basis, the above research results are upgraded to national and international standard documents. For the first time in the world, a quantitative measurement method based on the X, Y, and Z-direction drift of the SPM measurement image is proposed, which can achieve high-resolution measurement with a drift of less than 0.01 nm. The formulation of the international standard “Scanning Probe Microscope Drift Measurement Method”, is not only applicable to the drift rate evaluation method based on SPM measurement images, but also has important reference value for the stability evaluation of nanometer measuring instruments.

With the development of giant component processing, large-scale industrial testing, large-scale scientific instruments, etc., the development of large-scale, nanoprecision positioning drive control technology and instrument equipment with excellent performance has become an urgent need to solve in the development of nanomanufacturing equipment and nanomeasurement instruments. Based on the research foundation of nanoimprint, the research team of Xi’an Jiaotong University has researched the manufacturing process of high-precision ultra-long metal gratings, and has realized the development of ultra-long gratings with a measuring range greater than 3 m and the development of a reading system with an accuracy better than 0.2 μm/m.

7.4.2 Nanomaterials and Nanostructure Detection and Characterization

The research team of Huazhong University of Science and Technology has put forward the basic idea of computational metrology. Measuring the nanostructure topography parameters of the generalized ellipsometer as an example, it has systematically studied and solved the measurability, error analysis, and measurement uncertainty evaluation in computational measurement and other basic scientific problems and key technical problems [53,54,55]. The research team independently has developed a broad spectrum generalized ellipsometer principle prototype covering the wavelength range of deep ultraviolet to infrared. Its performance and technical indicators have reached the international advanced level, and it is suitable for online and accurate measurement of large-area nanostructure manufacturing processes. At the same time, it has independently developed China’s first high-precision wide-spectrum muller matrix ellipsometer, and has invented core components and key technologies such as wide-spectrum achromatic compensator and instrument precision calibration algorithm. The self-developed high-precision wide-spectrum muller matrix ellipsometer has been successfully applied in more than 10 units at home and abroad, such as the Belgian Microelectronics Research Center, breaking the long-standing situation that China’s high-end ellipsometer market has been completely monopolized by foreign companies. Foreign ellipsometer manufacturers have cut prices by more than 30% within two years, which has produced significant social and economic benefits. Some of the results are shown in Fig. 7.13.

Fig. 7.13
2 images labeled A and B. A has the nanostructure measurement with its spectroscopic ellipsometry graphs, Sekimyo optical construction plane diagram, reversion graphs with peaks and dips, and the model gap in the structure via device difference. And B has 3 photographs of a device with a triangle-type shape and a flat base.

The principle prototype of a broad-spectrum generalized ellipsometer independently developed (a Nanostructure ellipsometric scattering calculation and measurement theory and method; b Self-developed high-precision wide-spectrum muller matrix ellipsometer)

With the further development of physics, chemistry, materials, and other disciplines, new principles and new methods of nanomanufacturing continue to emerge. In addition to mainstream nanomanufacturing methods, encouraging interdisciplinary and continuous funding of innovative principles and manufacturing methods are the driving force for the continuous extension of nanomanufacturing and to provide method reserves and technical support for occupying the commanding heights of nanomanufacturing in the future.