Keywords

These keywords were added by machine and not by the authors. This process is experimental and the keywords may be updated as the learning algorithm improves.

Delivering high quality power to support power efficient systems is a fundamental requirement of all ICs. While the quality of the power supply can be efficiently addressed with a point-of-load power delivery system [187, 191, 475477], the complexity of a dynamically controllable distributed POL power supply system is a significant design issue. Hundreds of on-chip power regulators need to be co-designed with billions of nonlinear current loads within a power domain, imposing a critical stability challenge on distributed power delivery systems. To cope with the design complexity of complex analog systems, modeling, optimization, and synthesis techniques are typically used [478]. To automate the design of a power delivery system, accurate methods to evaluate performance metrics (e.g., quality of transient response, stability, and power) are required.

With the increasing diversity of modern systems, dynamic voltage scaling and fine grain power management are becoming increasingly common. These modern heterogeneous systems are typically partitioned into a fine grain structure, where the power is individually delivered and dynamically managed within each domain. With dynamic voltage scaling, maintaining the stability of these distributed power delivery systems has become highly challenging.

Low dropout regulators suitable for on-chip integration have recently been described [303, 316, 318, 333, 364366, 375, 379386, 479485], exhibiting fast load regulation, high power efficiency, as well as stability over a wide range of current loads and process, voltage, and temperature (PVT) variations. The LDO is therefore a key component in on-chip power management. A distributed system with multiple LDO regulators delivering power to a single grid may exhibit instability due to complex interactions among the LDO regulators, power distribution network, and current loads. The stability of these parallel connected voltage regulators is therefore a primary performance concern and requires accurate evaluation. To provide a stable distributed power delivery system, a stability analysis criterion is necessary.

The stability of a single closed loop system is traditionally determined by the phase margin of the open loop response of a system. In systems with multiple dependent loops, the open loop approach is, however, impractical because no straightforward method exists to identify unstable loops [486]. A computer-aided design framework based on the passivity and gain of a power grid has recently been described for evaluating the stability of distributed power delivery systems with LDO regulators [332, 334]. While recognizing stability challenges is an important cornerstone to the distributed power grid design process, the accuracy and efficiency of the stability analysis process requires demonstration on practical power delivery systems. In this chapter, an alternative passivity-based stability criterion (PBSC) is described for use with existing CAD tools and design flows, and is not limited to LDO based power delivery systems. Based on this passivity-based criterion, accurate system level requirements for evaluating the exponential and marginal stability of distributed power delivery systems are provided. Automating the design process of a power delivery system based on this stability criterion is also demonstrated by a parametric circuit performance modeling technique [478].

A distributed power delivery system with six ultra-small LDO regulators based on this stability criterion has been fabricated in an advanced 28 nm CMOS technology, and exhibits stable voltage regulation. The system is the first successful silicon demonstration of stable parallel analog LDO regulators without off-chip compensation.

The rest of the chapter is organized as follows. The stability criterion is described in Sect. 24.1. A distributed power delivery system is evaluated in Sect. 24.2 based on the stability criterion. Simulation and test results exhibit strong correlation between the stability of a distributed power delivery system and the PBSC criterion. Automated design with the stability criterion is described in Sect. 24.3. The chapter is concluded in Sect. 24.4.

1 Passivity-Based Stability of Distributed Power Delivery Systems

Understanding the effects of the frequency domain parameters on the time domain characteristics provides significant insight into the analysis and transient behavior of complex systems [487491]. Traditionally, the phase margin of the open loop transfer function can be used to determine the stability of a single LDO regulator. Similarly, a straightforward criterion is required for determining the stability of a distributed power delivery system.

A distributed power delivery system with more than two power supplies driving a single power grid is depicted in Fig. 24.1a. In this distributed system, the power supplies can be combined into a single power delivery system, yielding an equivalent single port network, as shown in Fig. 24.1b. Note that the output impedance of the equivalent single port network, Z TOT in Fig. 24.1b, is the parallel combination of all of the output impedances Z i , i = 1, , N of the individual power supplies shown in Fig. 24.1a. The output impedance of a distributed power delivery system is, therefore, straightforward to evaluate based on the individual output impedance of the parallel connected components. Alternatively, there is no straightforward method to identify the single loop that causes instability in a system with multiple interacting feedback paths. The open loop transfer function, traditionally used to determine the stability of a lumped power delivery system, cannot be applied to a distributed power delivery system with multiple control loops [370]. A criterion for evaluating the stability of a multi-feedback path system composed of distributed power regulators is therefore needed.

Fig. 24.1
figure 1

Power delivery system (a) with n ≥ 2 distributed power supplies, and (b) reduced single port network

Sufficient conditions for a stable distributed power delivery system are described in this section. These conditions are based on the observation, proven in [492], that a linear, time-invariant (LTI) system is stable when coupled to an arbitrary passive environment if and only if the driving point impedance is a passive system. Thus, a distributed power delivery system is stable if and only if the equivalent output impedance Z TOT satisfies passivity requirements. The passivity of a linear time-invariant (LTI) system is described here in terms of frequency domain parameters.

An LTI system is passive if the system can only absorb energy, yielding, in mathematical terms [493],

$$\displaystyle{ \int _{-\infty }^{T}v(t)i(t)dt \geq 0,\forall \ T, }$$
(24.1)

where v(t) and i(t) are, respectively, the voltage across the system and current flowing through the system. The total energy delivered to a passive system is determined from (24.1) based on the Parseval Theorem, exhibiting, for all positive currents,

$$\displaystyle{ \frac{1} {\pi } \int _{0}^{+\infty }Re[Z(j\omega )]\vert I(j\omega )\vert ^{2}d\omega \geq 0, }$$
(24.2)

where \(Z(s) = V (s)/I(s)\) is the system impedance, and V (s) and I(s) are, respectively, the phasor voltage and current of the system. The passivity condition based on (24.2), {\(Re[Z(\sigma +j\omega )] \geq 0,\forall \sigma> 0\)}, can be simplified based on [494] and specialized for a particular frequency range of interest S, yielding the following sufficient conditions for passivity of an LTI system: Z(s) has no right half plane (RHP) poles, and the phase of Z(s) is within the \((-90^{\circ },+90^{\circ })\) range \(\forall s \in S\).

A distributed system is, therefore, exponentially stable (converges within an exponential envelope) if the impedance of the system satisfies these passivity requirements, marginally stable (oscillates with constant amplitude) if the voltage and current phasors are shifted by precisely 90, and unstable otherwise . The phase of the output impedance is an efficient alternative to determine the stability of these distributed systems, since the traditional phase margin approach is not practical due to the multiple control loops.

2 Passivity Analysis of a Distributed Power Delivery System

In a distributed power delivery system, the total current load is shared among all of the power supplies. Voltage regulators in close proximity with the current load supply the greatest portion of the total current, which can be significantly higher than the average current supplied by a single regulator [191]. In addition, certain small signal parameters, such as the output resistance and output transconductance of individual regulators, are affected by the DC load current, changing the stability characteristics of each LDO regulator and the overall system. The stability of a distributed system is therefore a strong function of the local current shared among the distributed regulators.

To demonstrate the concept of a stable distributed power delivery system based on the passivity-based stability criterion, a power delivery system with six parallel connected LDO regulators is evaluated. A model of the power delivery system with six LDO regulators and a distributed power delivery network is shown in Fig. 24.2. Each power supply in the power delivery system is a standard LDO regulator [289] composed of an error amplifier (EA), output device (MP), and compensation network \(R_{C}C_{C}\), as depicted in Fig. 24.3. A three current mirror operational transconductance amplifier (OTA) topology [370] is used within each error amplifier.

Fig. 24.2
figure 2

Model of distributed LDO and power delivery system

Fig. 24.3
figure 3

Standard LDO topology [370]

The output impedance of parallel connected voltage regulators is a primary factor in determining the stability of a distributed power delivery system, and is a strong function of the poles and zeros of the individual LDO regulators. To maintain stability in a distributed power delivery system with n LDO regulators, the poles of the output impedance Z OUT TOT(s) must be left plane poles and the phase of Z OUT TOT(s) must be within the \((-90^\circ ,90^{\circ})\) range \(\forall \ s\).

The stability of the power delivery system is demonstrated on an example system assuming a total current load of 300 mA. Load sharing among the LDO regulators in the system exhibits a wide range of LDO currents (between 20 and 100 mA for an individual LDO regulator). The LDO in closest proximity with the current load supplies the largest portion (100 mA) of the total current requirements, which is higher by a factor of 2 than the average current load (52 mA) supplied by a single LDO. Alternatively, remote LDOs supply significantly less current (down to 20 mA), only half of the average LDO load current. The output impedance of the system under this load sharing scenario is evaluated here for each of the LDO regulators and the combined distributed power delivery system.

The phase, gain, poles, and zeros within the range of interest are shown in Fig. 24.4, demonstrating a passive parallel combination of individually passive impedances. Note that the poles of the combined system output impedance are limited by the frequency range of the individual LDO poles. Thus, a distributed power delivery system with individually stable LDO regulators under all feasible load currents exhibits no right half plane poles (RHP). The stability of a multi-feedback system with individually stable power supplies is therefore limited by the phase of the combined output impedance of the system.

Fig. 24.4
figure 4

Output impedance of individual LDO regulators loaded by different currents (between 20 and 100 mA) and the combined system output impedance, (a) phase \(\angle Z_{OUT}\), (b) gain | Z OUT  | , and (c) poles and zeros

To demonstrate the effect of the phase of the output impedance on the stability of a distributed system, the transient response and phase of the output impedance \(\angle Z_{OUT}\) of the distributed system with six LDO regulators are shown in Fig. 24.5. In agreement with the passivity-based stability criterion, the output response diverges (oscillates with increasing amplitude), and converges within an exponential envelope for, respectively, \(\vert \angle Z_{OUT}\vert> 90^{\circ }\) and \(\vert \angle Z_{OUT}\vert <90^{\circ }\). Note that the system with C C  = 0.5 pF and \(\max _{\forall f}\{\angle Z_{OUT}\} = 89^{\circ }\) slowly converges to the steady-state solution, exhibiting an underdamped response inappropriate for voltage regulation in power delivery systems. Alternatively, a system with C C  = 5 pF and \(\max _{\forall f}\{\angle Z_{OUT}\} = 70^{\circ }\) exhibits an overdamped response with a significant stability margin. A strong correlation therefore exists between the phase shift of the output voltage and load current, and the effective stability margin of the system. Based on this observation, the phase margin of the output impedance for a distributed power delivery system is

$$\displaystyle{ PM(Z_{out}) = 90^{\circ }-\max \limits _{ \forall f}\{\angle Z_{OUT}\}. }$$
(24.3)

A distributed power delivery system is therefore unstable, stable, or marginally stable if the phase margin of the output impedance is, respectively, negative, positive, or zero. A safe phase margin of the output impedance should be determined based on specific design criteria to avoid excessively underdamped and overdamped voltage regulation systems.

Fig. 24.5
figure 5

Output response of a distributed power delivery system with different compensation capacitors (\(C_{C} = 0.4\,\text{ pF}\), \(C_{C} = 0.5\,\text{ pF}\), \(C_{C} = 1\,\text{ pF}\), and \(C_{C} = 5\,\text{ pF}\)), illustrating the correlation between the (a) transient response, and (b) phase of the output impedance

A power delivery system with six LDO regulators has been designed and evaluated based on this passivity-based stability criterion. The system is fabricated in an advanced 28 nm CMOS technology. A die microphotograph of the LDO regulator is illustrated in Fig. 24.6. The area occupied by the LDO with all capacitors is 85 × 42 μm. The measured transient response is illustrated in Fig. 24.7 for nominal input and output voltages of, respectively, 1.0 and 0.7 V, and a load current step (stepped from 52 to 788 mA in 5 ns). Based on these experimental results, the system of six parallel LDO regulators yields a stable response and voltage droop of 0.1 V.

Fig. 24.6
figure 6

Die microphotograph of an LDO regulator and current generating circuit

Fig. 24.7
figure 7

Measured transient response for a load current step from 52 to 788 mA in 5 ns

3 Model of Parametric Circuit Performance

Existing automated techniques for designing analog circuits are based on numerical optimization and evaluation engines [478]. Parametric models characterize the performance of an analog circuit (e.g., gain, bandwidth (BW), slew rate (SR), or phase margin (PM)) based on certain circuit design variables (e.g., device sizes and voltage biases) [478]. The performance of an individual power supply is typically determined by a set of parameters, such as the DC gain, phase margin, DC offset, slew rate, and power. Alternatively, a distributed power delivery system should be evaluated based on both the performance of the individual power regulators and additional performance metrics characterizing the combined system, such as the phase margin of the output impedance. To reduce the design complexity of modern distributed power delivery systems, the passivity-based stability criterion should be integrated within existing automated design methodologies. An automated flow for designing a stable distributed power delivery system is shown in Fig. 24.8. The first stage of the flow is based on a standard parametric performance modeling technique [478]. During this stage, an LDO regulator is synthesized based on the specific LDO topology and design objectives. The output of the first stage is used during the second stage to determine the number and location of the parallel connected power supplies within a distributed power delivery system. During this second stage, a power delivery system, composed of distributed voltage regulators, is iteratively evaluated based on the passivity-based stability criterion and placement algorithms [191, 459]. During each iteration, the worst case load sharing scenario is determined for the specific power delivery system. The passivity-based stability of the distributed system is evaluated based on the individual current loads. If required, the number and location of the power supplies are updated. Finally, the number and location of the parallel connected power supplies that satisfies the quality of power and stability requirements of the distributed power delivery system are determined.

Fig. 24.8
figure 8

Automated PBSC-based design flow for a distributed power delivery system

The operation of the second stage of the automated PBSC-based design flow is demonstrated based on the ISPD’11 placement benchmark suite of circuits [495]. The floorplan of the superblue5 (sb5), superblue10 (sb10), superblue12 (sb12), and superblue18 (sb18) circuits is illustrated in Fig. 24.9. Each of the circuits is composed of thousands of fine grain rectangular shapes. To reduce the complexity of the circuit evaluation process, the fine grain shapes are combined into larger rectangular nodes. Of the combined nodes, only the largest nodes are considered, exhibiting a reduced floorplan. The magnitude of the distributed current loads is proportional to the size of these nodes with a total load current of 1 A. The location of each of the current loads is in the center of the corresponding rectangular node. The number of fine grain shapes, large combined nodes, coverage of the reduced floorplan, and power grid data are listed in Table 24.1. Note that the nodes in the reduced floorplan occupy more than 85 % of the total active circuit area.

Fig. 24.9
figure 9

Floorplan of ISPD’11 circuits [495] (a) superblue5, (b) superblue10, (c) superblue12, and (d) superblue18

Table 24.1 Properties of ISPD benchmark circuits

A constant voltage is ideally distributed to all of the current loads within a circuit. Practically, the quality of power is degraded in modern circuits due to parasitic on-chip impedances. A voltage drop map of the superblue5 circuit without on-chip power supplies is shown in Fig. 24.10, yielding a maximum voltage drop of 23.4 %, assuming an off-chip voltage supply of 1 V. To address the quality of on-chip power, power delivery systems with a single on-chip power supply (case 1), three on-chip power supplies (case 2), and twelve on-chip power supplies (case 3) are considered. For each of the three cases, the IR drops of the distributed power delivery system is analyzed based on the IR drop algorithm for a power grid with multiple power supplies and current loads (see Chap. 23) [458]. The location of the power supplies in cases 1 and 2 is modeled as a mixed integer nonlinear programming problem [191], and optimized based on a general algebraic modeling system (GAMS) [496]. In case 3, the power supplies are uniformly distributed on-chip. The stability is evaluated for each of the three cases based on the passivity-based criterion. A map of the voltage drops and phase of the output impedance within superblue5 with a different number of on-chip power supplies is shown in Fig. 24.11. The maximum voltage drop is less with increasing number of power supplies, exhibiting a reduction in the maximum voltage drop of, respectively, 14.23 %, 20.29 %, and 22.29 % with a single, three, and twelve on-chip power supplies. Alternatively, the output current of the individual regulators changes with the number of power supplies, affecting the phase of the output impedance and stability characteristics of the distributed system. Based on the stability criterion, the superblue5 circuit is stable with a single power supply and three power supplies (the phase of the output impedance is within the \((-90^{\circ },90^{\circ })\) range), and unstable with twelve power supplies (the minimum phase of the output impedance is -95.1∘ which is less than -90∘). While the distributed power delivery system with twelve power supplies exhibits a higher quality of power than systems with fewer power supplies, this system is shown to be unstable under an aggressive transient load response. Thus, a stable system with fewer power supplies is preferable to deliver power to the superblue5 circuit when considering both quality of power and stability challenges.

Fig. 24.10
figure 10

Voltage drop map of superblue5 circuit

Fig. 24.11
figure 11

Superblue5 circuit with a single, three, and twelve on-chip power supplies, (a) map of voltage drops, and (b) phase of the output impedance

Algorithm 24.1 Automated PBSC-based design flow

The second stage of the automated PBSC-based design flow, shown in Fig. 24.8, has been implemented in Matlab. Pseudo-code of the Matlab algorithm is summarized in Algorithm 24.1. A model of the LDO circuit is used to describe the small signal response of the on-chip power supplies, and evaluate the output impedance of the power supplies and overall power delivery system. The power delivery system for the ISPD’11 benchmark circuits, superblue5 (sb5), superblue10 (sb10), superblue12 (sb12), and superblue18 (sb18), has been evaluated based on this PBSC-based LDO placement algorithm. The maximum IR drop and stability results are listed in Table 24.2.

Table 24.2 Maximum IR drop and stability in ISPD benchmark circuits

Based on the evaluation of the benchmark circuits, the maximum voltage drop is significantly less with increasing number of on-chip power supplies. Alternatively, the stability of the distributed power delivery system is a function of the specific load distribution, and is affected by characteristics of the POL power delivery system. The automated PBSC-based design flow generates a distributed power delivery system that addresses both quality of power and stability requirements.

4 Summary

Distributed on-chip power regulation and delivery are necessary for delivering high quality power to modern high performance integrated circuits. Significant load sharing and PVT variations however pose stability challenges on the co-design of these multiple on-chip voltage regulators. Thus, the design complexity of parallel voltage regulators driving the same power grid is traded off for high power quality.

To design a stable closed loop regulator, sufficient phase margin in the open loop transfer function is required. Phase margin is therefore a sufficient parameter for determining the stability of a single LDO. Evaluating the open loop characteristics is however not practical with parallel LDO regulators due to the multiple regulation loops. Evaluating the stability of a distributed power delivery system is therefore not possible with the traditional phase margin criterion.

  • An alternative passivity-based stability criterion is described for evaluating the stability of parallel voltage regulators driving a single power grid

  • Based on this criterion, a distributed power delivery system is stable if and only if the total output impedance of the parallel connected LDOs exhibits no right half plane poles and a phase between − 90 and + 90

  • Similar to a single voltage regulator, the phase margin of the output impedance (the difference between the maximum phase and 90) determines the stability of a distributed power delivery system

  • A distributed system with six LDO regulators is used to demonstrate the application of the PBSC method to determine the stability of a distributed power delivery system

  • Feasible load sharing variations are evaluated based on system specifications, and upper and lower limits for load sharing variations are described

  • Each of the LDO regulators is designed with sufficient phase margin to deliver stable power while satisfying any load sharing limitations

  • The system, fabricated in a 28 nm CMOS process, exhibits a stable response with excellent load regulation

  • Integration of the stability criterion within an existing design automation flow is demonstrated on a set of benchmark circuits, yielding an efficient technique for the automated design of stable, distributed power delivery systems

  • The passivity-based stability criterion is a simple and efficient method to evaluate the stability of distributed power delivery systems