Keywords

These keywords were added by machine and not by the authors. This process is experimental and the keywords may be updated as the learning algorithm improves.

2.1 Fundamental Principles

Any ion beam modification of materials is the result of interactions between energetic ions and the solid by inter atomic potentials. These interactions manipulate ion ranges and range distributions in the solid, ion stopping processes and anisotropic ion distributions by channeling and collision cascades. On the other hand, these interactions are the basis for ion damage in solids by implantation, sputtering, and mixing processes. The effects caused by interactions between energetic ions and solids are not only the basis for understanding of ion beam materials processing but can also be exploited by a lot of micro- and nano-analytical techniques. Therefore, some important fundamentals of ion–solid interactions will be discussed in this chapter.

Particle scattering in matter was first described by Rutherford [1], where he enunciated his famous scattering formula (2.14). Early theoretical concepts were given by Thomson [2], Bohr [3], Born [4], and Bethe [5]. On the basis of Bohr’s papers [6, 7] the theoretical understanding was promoted by computer simulation studies of Gibson [8] and later on of Eckstein [9].

First investigations of ion implantation [10], radiation damage [11], and sputtering erosion [12] were published in the 1960s of last century. Outstanding new results were given by Sigmund. This concerns ion ranges, radiation damage, and sputtering [13], and more recent books review energy loss theory [14, 15]. Sputtering and related phenomena are extensively covered in a series of books edited by Behrisch [1619]. A broad overview on both ion–solid interaction phenomena and their applications in materials research was presented by Nastasi et al. [20, 21]. Modern aspects of ion–surface interactions involving high-energetic ions and ion-induced nanostructure formation and control are discussed in two recently collected editions by Sigmund [22, 23]. Furthermore, a modern overview on materials science with ion beams was edited by Bernas [24].

Because of the broad scale of existing publications it cannot be the purpose of the present chapter to give a description of the fundamentals of ion–solid interactions in detail or to derive the theoretical relationships or formulas ab initio. Rather, the task of this chapter is to compile the most important fundamentals of ion–solid interactions as assumption for the understanding of ion-induced materials modifications and of ion beam-induced materials preparation as well as of ion beam-assisted analytical techniques. These fundamental interactions include binary elastic particle collisions, ion stopping and recoil processes, ion range and range distributions, ion implantation, channeling and sputtering processes, and specimen modifications such as radiation damage, preferential sputtering, and ion mixing. The content will restrict to short-time phenomena during the slowing down phase of incident ions, whereas thermal and long-time effects, such as ion-induced diffusion and phase formation, are not the subject of this chapter.

2.2 Binary Elastic Collisions

Fast ions, bombarding a solid, will have collisions with both the electrons of the solid and also with atomic nuclei. Ion interactions with an atomic electron are purely governed by Coulomb’s law. These interactions are inelastic, because they result in

  • Ionization, where the electron is ejected from its atomic orbit

  • Atomic excitation, where the electron is raised to an outer orbit

In both cases, the ionized/excited atom may return to its ground state, accompanied by the emission of one or more X-rays or photons, respectively.

Ion collisions with the atomic nuclei are often called “elastic,” although they can be accompanied by an energy loss by generating bremsstrahlung. In the case of pure elastic scattering the ion–nucleus interaction is governed by Coulomb’s force, and it results in a change of direction but no reduction of energy. Ion–nucleus collisions may also be inelastic, leading to an energy loss by generation of the mentioned bremsstrahlung or by a nucleus excitation. The possible effects of ion–solid interactions at Coulomb interaction force are summarized in Table 2.1.

Table 2.1 Effects of Coulomb ion–solid interactions

To describe a nuclear or electronic ion collision under simplified assumptions one can treat it as a binary elastic interaction of two point charges, as illustrated in Fig. 2.1.

Fig. 2.1
figure 1

Elastic ion-target scattering (bombarding ion 1, bombarded target particle 2). (a) Laboratory system (LS) and (b) center of mass-system (CMS)

In an idealized laboratory system (LS) (Fig. 2.1a) the starting velocity of target particle 2 is assumed as zero. After the collision, the bombarding ion 1 and the target particle 2 are ejected under scattering angles Θ and Φ, respectively. As the collision does not affect the total system momentum, the kinematics can be demonstrated by a center of mass-system (CMS in Fig. 2.1b), where the two-body system is described by the kinematics of a single particle with the reduced mass μ:

$$ \mu = \frac{{{m_1} \cdot {m_2}}}{{{m_1} + {m_2}}}, $$
(2.1)

where m 1 the mass of the bombarding ion and m 2 the mass of the bombarded target particle.

The correlation between the scattering angles Θ and Φ in the laboratory system (Fig. 2.1a) and ϑ in the CMS (Fig. 2.1b) is given by the transformation formulas:

$$ \tan \Theta = \frac{{\sin \vartheta }}{{\frac{{{m_1}}}{{{m_2}}} + \cos \vartheta }},\quad \Phi = \frac{{\pi - \vartheta }}{2}. $$
(2.2)

From these equations the scattering angle ϑ in the center of mass-system follows a reverse transformation:

$$ \vartheta = \Theta + \arcsin \left( {\frac{{{m_1}}}{{{m_2}}} \cdot \sin \Theta } \right). $$
(2.3)

The energy transfer E T from the bombarding ion (m 1, initial energy E 0) to the bombarded target particle m 2 can be described as

$$ {E_{\rm{T}}} = \gamma \cdot {E_0} \cdot {\rm{si}}{{\rm{n}}^2}\left( {\frac{\vartheta }{2}} \right) $$
(2.4)

with the energy transfer factor

$$ \gamma = \frac{{4{m_1} \cdot {m_2}}}{{{{({m_1} + {m_2})}^2}}}. $$
(2.5)

The kinetic energy E c that remains in the CMS system follows as

$$ {E_{\rm{c}}}{ = }\frac{{{m_{{2}}}}}{{{m_{{1}}}{ + }{m_{{2}}}}} \cdot {E_{{0}}}. $$
(2.6)

The residual energy E′ of the bombarding ion after collision results as

$$ E\prime = {E_0} - {E_{\rm{T}}} = {E_0} \cdot \left( {1 - \gamma {\rm{si}}{{\rm{n}}^2}\left( {\frac{\vartheta }{2}} \right)} \right). $$
(2.7)

Substituting the scattering angle ϑ by those of the laboratory system (LS) (Fig. 2.1a) one can also write

$$ E\prime = {\left( {\frac{{{m_1}}}{{{m_1} + {m_2}}}} \right)^2} \cdot {E_0}\left( {{ \cos }\Theta \pm \sqrt {{{{\left( {\frac{{{m_2}}}{{{m_1}}}} \right)}^2} - {\rm{si}}{{\rm{n}}^2}\Theta }} } \right). $$
(2.8)

As follows from (2.8) for m 1 ≥ m 2 a maximum scattering angle will exist, which cannot be exceeded.

In order to derive a formula for the differential scattering cross section dσ/dω, Fig. 2.2 shows the course of a classical ion scattering trajectory in the CMS system. The decrease of scattering angle with increasing impact parameter p is represented by the so-called classical trajectory integral:

Fig. 2.2
figure 2

Classical ion scattering trajectory, as for the definition of the differential scattering cross section dσ/dω (after [25])

$$ \vartheta = \pi - 2p\int_0^{{R_{{\min }}^{{ - 1}}}} {\frac{{d\left( {\frac{1}{R}} \right)}}{{\sqrt {{1 - \frac{{{V(R)}}}{{{E_{\rm{c}}}}} - \frac{{{p^2}}}{{{R^2}}}}} }}} $$
(2.9)

for any spherically symmetric interaction potential V(R) which depends on the distance R of the collision partners 1, 2. The root of the denominator determines the minimum distance of approach, R min. Projectiles which enter an annulus of differential area dσ are scattered into a differential solid angle dω, from which, at known ϑ(p) relation, the differential cross section of the scattering process is given by

$$ \frac{{{\rm{d}}\sigma }}{{{\rm{d}}\varpi }} = \left| {\frac{{2\pi \cdot p \cdot {\rm{d}}p}}{{2\pi \cdot \sin \vartheta \cdot {\rm{d}}\vartheta }}} \right| = \frac{p}{{\sin \vartheta }} \cdot \frac{{{\rm{d}}p}}{{{\rm{d}}\vartheta }}. $$
(2.10)

From (2.9) and (2.10) the so-called Rutherford scattering cross section [1] can be derived under well-defined conditions. For the potential V(R) we choose the Coulomb interaction potential between two charged particles (charge numbers Q 1, Q 2 and e—elementary charge):

$$ V{(}R{)} = \frac{{{Q_1} \cdot {Q_2} \cdot {e^2}}}{{4\pi \cdot \varepsilon {}_0 \cdot R}}. $$
(2.11)

The evaluation of the trajectory integral (2.9) gives

$$ { \tan }\left( {\frac{\vartheta }{2}} \right) = \frac{b}{{2 \cdot p}} $$
(2.12)

with the so-called collision diameter

$$ b = \frac{{{Q_1} \cdot {Q_2} \cdot {e^2}}}{{4\pi \cdot {\varepsilon_0} \cdot {E_{\rm{c}}}}}. $$
(2.13)

By the combination of (2.10), (2.12), and (2.13) one yields the famous Rutherford cross-section formula [1]:

$$ {\left( {\frac{{{\rm{d}}\sigma }}{{{\rm{d}}\omega }}} \right)_R} = \frac{1}{{16}} \cdot {\left( {\frac{{{Q_1} \cdot {Q_2} \cdot {e^2}}}{{4\pi \cdot {\varepsilon_0}}}} \right)^2} \cdot \frac{1}{{E_{\rm{c}}^2}} \cdot \frac{1}{{{\rm{si}}{{\rm{n}}^4}\frac{\vartheta }{2}}}. $$
(2.14)

The possibilities to increase the differential cross section can be immediately concluded from (2.14). These are

  • Using projectiles of larger Z 1 and m 1

  • Decreasing the initial energy E 0 of the probing particles

  • Decreasing the scattering angle ϑ

The sensitivity of ion scattering experiments can be increased by different steps. As will be described in Chap. 6 especially for RBS, but also for other scattering techniques such procedures are:

  • Increasing the differential cross section dσ/dω

  • Increasing the number of bombarding particles

  • Increasing the detector’s solid angle

  • Increasing the detector’s efficiency

2.3 Ion Stopping

The interaction of impinging ions with the bombarded target consists of a series of collisions with the target atoms until ions stop as depicted in Fig. 2.3, which illustrates the Monte Carlo calculation of ion trajectories for 50 keV boron ions implanted into a Si target [26].

Fig. 2.3
figure 3

Monte Carlo calculation of 128 trajectories for 50 keV B ions implanted into Si [26]

During ion stopping two different collision processes take place. Since the energy of the impinging ion is much higher than lattice binding energies, the latter can be neglected at simulated elastic collisions between pairs of nuclei. The energy loss by these collisions is the so-called nuclear stopping component. Furthermore, a second component of scattering comes from inelastic collisions with electrons in the target. This energy loss is called electronic stopping. The total stopping power S total which is defined by the energy loss per unit length dE/dx of the impinging ion can be written as sum of the two energy loss components:

$$ {S_{\rm{total}}}{ = }{\left( {\frac{{{\rm{d}}E}}{{{\rm{d}}x}}} \right)_{\rm{nuclear}}}{ + }{\left( {\frac{{{\rm{d}}E}}{{{\rm{d}}x}}} \right)_{\rm{electronic}}}. $$
(2.15)

The relative share of both terms in (2.15) for the total stopping power S total can be seen in Fig. 2.4 as a function of ion velocity (proportional to the ion energy) over a wide energy range [27]. Low ion energies in the range of up to few 100 keV, typically for conventional ion implantation, are characterized by a remarkable rate of nuclear stopping. This is evident from its maximum in the left corner of region I in Fig. 2.4.

Fig. 2.4
figure 4

Nuclear and electronic components of the ion stopping power S as a function of ion velocity [27]

The nuclear stopping process can be described by classical kinematics. Because electrons screen the nuclear charges of the colliding atoms, the Coulomb interaction potential V(R) between them [see (2.11)] must be corrected by a screening function f S(R):

$$ {V_{{corr}}}(R) = V(R) \cdot {f_{\rm{S}}}(R). $$
(2.16)

This corrected interaction potential V corr allows to calculate the scattering angle ϑ for any incident ion trajectory. In the center of mass-system the connection between the energy transfer E T and the scattering angle is given by (2.4), using (2.5):

$$ {{\rm{E}}_{\rm{T}}} = \frac{{4{m_1} \cdot {m_2}}}{{{{({m_1} + {m_2})}^2}}} \cdot {E_0} \cdot si{n^2}\frac{\vartheta }{2}. $$
(2.17)

The rate of energy transfer to nuclear collisions per unit path length can be calculated. For this purpose the energy transfers multiplied by the collision probability must be added. For the known maximum possible energy transfer E T, max the nuclear stopping power S n follows immediately:

$$ {S_{\rm{n}}} = {\left( {\frac{{{\rm{d}}E}}{{{\rm{d}}x}}} \right)_{{nuclear}}} = N \cdot \int_0^{{{E_{{{\rm{T}},\max }}}}} {{E_{\rm{T}}}{\rm{d}}\sigma } $$
(2.18)

with N the number of target atoms per unit volume, and dσ the differential cross section.

By the energy transfer from the bombarding ion to the target atom the latter one may be displaced from its atomic place, creating a damage or defect site (e.g., interstitial atom in crystalline lattice).

Electronic stopping is caused by the interaction between the incoming ion and the electrons in the target. The theoretical models are quite complex, but in the low energy regime, the stopping is similar to a viscous drag force and is proportional to the ion velocity. Electronic stopping is inelastic. The energy loss by incident ions is dissipated through the electron cloud into thermal vibrations of the target atoms.

At higher ion velocities (or intermediate ion energies, region II in Fig. 2.4) the charge state of the ion increases, and it becomes, for example, fully stripped of all its electrons at velocities v ≥ v o·Z 2/31 . There, the ion is moving with a velocity exceeding the mean orbital velocity of electron in the target atom shells. Thus, the ion–target interaction results in electronic stopping and it can be described by Bohr’s theory of stopping power, based on classical considerations [3]. The energy loss of incoming ions was given by Nastasi et al. [20] in 1996:

$$ {S_{\rm{e}}} = {\left( {\frac{{{\rm{d}}E}}{{{\rm{d}}x}}} \right)_{\rm{electronic}}} = \frac{{2\pi \cdot Z_1^2 \cdot {e^4}}}{{{E_0}}} \cdot N \cdot {Z_2} \cdot \left( {\frac{{{m_1}}}{{{m_2}}}} \right) \cdot \ln \frac{{2{m_{\rm{e}}} \cdot {v_2}}}{I} $$
(2.19)

with m 1—ion mass, m 2—target atom mass, m e—mass of a target-atom electron, Z 1—atomic number of the ion, Z 2—atomic number of the stopping target atom, and I—average excitation energy. For most elements, the average excitation energy (in eV) can be roughly approximated by I ≈ 10·Z 2. The calculation of S e using (2.19) gives values to within 10 % of the experimental values.

A well-known model of electronic stopping in the velocity-proportional region is given by the so-called LSS-theory, which is named after Lindhard, Scharff, and Schiott [28] and can be obtained from the earlier model of Firsov [29]. The primary difference between the two models exists in different interatomic potentials [30]. The LSS theory describes the interaction of ions with amorphous solids, where no channeling effects, no diffusion, and no defect interactions are considered. Thus, the interaction is mainly based on elastic collisions with nuclei and inelastic collisions with electrons.

For calculations, the LSS electronic stopping power S e can be expressed by the following equation:

$$ {S_{\rm{e}}}{(}E{)} = 3.83 \cdot \frac{{Z_1^{{7/6}} \cdot {Z_2}}}{{{{(Z_1^{{2/3}} + Z_2^{{2/3}})}^{{3/2}}}}}.{\left( {\frac{{{E_0}}}{{{m_1}}}} \right)^{{1/2}}} = {K_{\rm{L}}} \cdot {E_0}^{{1/2}}, $$
(2.20)

where

$$ {K_{\rm{L}}} = 3.83 \cdot \frac{{Z_1^{{7/6}} \cdot {Z_2}}}{{m_1^{{1/2}}{{(Z_1^{{2/3}} + Z_2^{{2/3}})}^{{3/2}}}}}. $$
(2.21)

S e(E) will be given in units of 10−15 eV cm2 atom–1 for ion energies E 0 given in keV and m 1 given in atomic mass units. Both, nuclear and electronic stoppings are statistically independent processes and the total stopping power S total is the sum of both terms [see (2.15)].

As an example Fig. 2.5 shows both the electronic and nuclear stoppings for As, P, and B ions, impinging in a Si substrate with different energies E 0 [31]. The critical energy E C when the nuclear and the electronic stopping powers are equal differs from one element to another: E C(B) ≈ 17 keV, E C(P) ≈ 150 keV, E C(As) > 500 keV. In general, the portion of electronic stopping grows with increasing ion energy.

Fig. 2.5
figure 5

Electronic stopping power S e and nuclear stopping powers S n for As ions (Asn), P ions (Pn) and B ions (Bn), impinging in a Si target [31]

At extremely high ion velocities (or ion energies) in region III (Bethe-Bloch region) of Fig. 2.4, the electronic stopping power decreases again with increasing ion velocity because of shorter ion interaction time in the vicinity of the target atoms. In the case of As ions impinging in Si this is valid for energies >105 keV [28]. In this high energy range, the so-called fast collision regime, the values of S e are proportional to (Z 1/v)2.

2.4 Ion Channeling

Ion stopping phenomena were discussed in the previous section under the assumption of an amorphous target, where atoms are randomly arranged in the target material. However, in many cases targets possess a crystalline structure. In this case, long-range open spaces exist through which the ions can fly without significant scattering. Ions can be steered to these open channels by glancing collisions with the atom rows or planes, hereby extending the final ion distribution deeper into the target. This effect is called ion channeling and was predicted by Stark [32]. After first corresponding experimental evidence in the early 1960s [33, 34], Lindhard [35] and Morgan [36] formulated a theoretical basis of ion channeling. A good overview is also given in the book of Feldman et al. [37] and, more recently, in the famous book of Nastasi [38].

As an example Fig. 2.6 shows range distributions for channeled 100 keV As ions implanted along the <100> axis of crystalline Si target [38]. The dashed line shows the Gaussian distributions for incident ions aligned away from any channeling direction. As evident from the figure, the channeled implantation along crystal axes leads to a much deeper ion penetration, several times the projected range R P.

Fig. 2.6
figure 6

Range distributions for channeled As (100 keV) ions implanted along the <100> Si axis (dashed line: non-channeled ions) [38]

The channeling effect can be demonstrated by a computer simulation of ion trajectories, given by Robinson (Fig. 2.7) [39]. An ion (atomic number Z 1) which is directed at a small angle Ψ to close-packed rows or planes of atoms in a crystal (atomic number Z 2) is steered by a series of gentle collisions with the atoms so that it is channeled into the regions between these rows or planes. The channeling effect is easily understood as a correlated series of elastic two-body collisions. This classical collision model is only valid under the following assumptions:

Fig. 2.7
figure 7

Schematic presentation of channeled ion trajectories [39]

  • Small scattering angles

  • Strongly correlated collisions

  • Elastic two-body collisions

  • Perfect crystal

After Lindhard [30, 35] the ions move in a transverse potential:

$$ {V_{\rm{T}}}(\rho ) = \frac{1}{d} \cdot \int {V[{{({\rho^2} + {x^2})}^{{1/2}}}]} {\rm{d}}x $$
(2.22)

with ρ the distance ion-string of atoms, x the distance traveled along the string, and d the atom distance.

The two-body potential V(r) is generally taken to have the Thomas–Fermi form:

$$ V(r) = \left( {\frac{{{Z_1} \cdot {Z_2} \cdot {e^2}}}{r}} \right) \cdot \varphi \left( {\frac{r}{a}} \right), $$
(2.23)

where Z 1 and Z 2 are the atomic numbers of the ion and the target atom in the string, respectively, e is the electronic charge, r is the nuclear separation distance, ϕ is the Thomas–Fermi screening function, and a is the screening distance.

The condition for ion channeling is fulfilled, if the ion incidence angle ψ relative to the crystal orientation is smaller than a critical angle ψ crit, which is defined as the maximum angle of incident ions to a channel between rows of atoms (axial channeling) or planes of atoms (planar channeling) so that the ion remains in a channel trajectory. For axial channeling the critical angle ψ crit is expressed by the following equation [35]:

$$ {\psi_{\rm{crit}}} = k \cdot \sqrt {{\frac{{{Z_1} \cdot {Z_2} \cdot {e^2}}}{{{E_0} \cdot d}}}} = 9.71 \cdot \sqrt {{\frac{{{Z_1} \cdot {Z_2}}}{{{E_0} \cdot d}}}}, $$
(2.24)

where Z 1 and Z 2 are the atomic numbers of incident ions and the target atoms, respectively. E 0 is the energy for the incident ion and d is the distance between successive atoms along the channel wall. The approximate constant k amounts to 9.71 of the ion energy, given in keV, and the distance d, given in Å [40]. The critical angle ψ crit is in the order of 7° and can be used to estimate roughly whether the implanted ion is preferentially channeled or not. Equation (2.24) reveals that channeling is more likely for heavier ions (higher Z 1) and lower energies E 0.

Planar channeling means that energetic ions, moving in a solid are steered by planes of lattice atoms. For planar channeling the critical angle is expressed by

$$ {\Psi_{\rm{p}}} = \sqrt {{\frac{{\pi \cdot {Z_i} \cdot {Z_t} \cdot {e^2} \cdot N \cdot {d_{\rm{p}}} \cdot C \cdot {a_{\rm{TF}}}}}{{{E_0}}}}}, $$
(2.25)

where N is the atomic number density, d p the planar spacing, a TF the Thomas–Fermi screening parameter, and C ≈ (3)0.5 the Lindhard constant [35, 41, 42].

The most widely adopted procedure for minimizing channeling, for example in silicon, is the tilt of the crystal surface relative to the incident ion beam direction commonly by 7° so that the lattice appears as a dense orientation of the Si crystal. Nevertheless, at 7° tilt the Si atoms aligned in a highly symmetric array of planes and the planar channeling can still produce channeling effects. Therefore, the crystals must also be oriented with an appropriate azimuthal (or rotation) direction, in addition to the selected tilt angle. As shown in Fig. 2.8, for example for <100>-oriented Si, the tilt of crystal around the <110>-axis by 7° prevents only the axial channeling component (Fig. 2.8b) and planar channels are still open for incident ions. Only an additional azimuthal rotation of the tilted crystal around the <100>-axis by 20–30° (Fig. 2.8c) closes the planar channels and the channeling of ions will be effectively suppressed.

Fig. 2.8
figure 8

Perpendicular and parallel view onto the (100)-surface of a silicon crystal in ion beam direction (a), the crystal tilted by 7° (b) and additionally rotated by 30° around the surface normal (c)

Selected examples of as-implanted depth distributions in dependence on the tilt angle and the rotation degree are shown in Fig. 2.9 for the implantation of 35 keV B+ ions with a fluence of 5 × 1014 cm−2 into <100>-oriented silicon. Figure 2.9a demonstrates that the axial channeling of boron is minimized at tilt angles of (7–10)° and further increase of the angle does not change the profile. The dependence of the planar channeling on the rotation angle at a given tilt angle is less pronounced compared to the axial channeling as shown in Fig. 2.9b, and rotation angles above 30° do not change the channeled part of the profile [43].

Fig. 2.9
figure 9

As-implanted boron depth distribution implanted with 35 keV, 5 × 1014 cm−2 into <100>-oriented silicon measured by SIMS: (a) varying tilt angles and fixed rotation angle at 0°, (b) varying rotation angles and fixed tilt angle at 5° [43]

Channeling effects are successfully simulated in a highly accurate manner by using, for example, the dual Pearson model [44] and the Crystal-TRIM code [45].

Figure 2.10 shows the ion back-scattering yield around a channeling direction. The yield is minimum when the ion beam is well aligned with a channel (ψ ion < ψ crit). In order to preclude disturbing channeling, the wafers in semiconductor processing are normally tilted by about 7° to avoid the major crystal channels. But in practice this is only of restricted viability. The channeled impurity profile is sensitive to changes in the order of 1° of the wafer tilt and beam divergence, and ions are scattered by amorphous surface films and residual damage from previous processing steps.

Fig. 2.10
figure 10

Ion back-scattering yield around a channeling direction [26]

As an example for another silicon crystal orientation, Fig. 2.11 shows electrically active 300 keV As depth distributions in Si as a function of the beam angle, tilted against [111] direction of the (111)-oriented Si crystal. The usual 7° tilt, here named “random equivalent,” still shows again significant differences from a Gaussian profile.

Fig. 2.11
figure 11

Electrically active As depth distributions in Si as a function of beam angle relative to the wafer surface normal [26]. The “random equivalent” case is the usual 7° tilt to avoid channeling, but still shows significant differences from a Gaussian profile

For perfect crystals at low temperatures the ratio χ of the back-scattering yield for ions incident with ψ ion < ψ crit to that for a randomly oriented crystal is in the order of 0.01. Thermal vibrations and impurity atoms can lead to a degradation process. If 50 % of the impurity atoms are placed in the channel, χ will grow up to ≈ 0.5, and if the impurity atoms are placed near the center of the channel even a peak of χ may exist at ψ ion = 0°. The influence of crystal defects on ion scattering in a crystal is schematically illustrated in Fig. 2.12. There χ is the measure for the backscattering yield. For a nearly perfect crystal (A) χ is very low. In the case of dechanneling by point defects (B) the change of χ with the depth is proportional to the concentration of displaced host atoms. If a near-surface layer of the crystal is completely disordered (amorphized), χ becomes equal to unity. Since ions lose energy as they penetrate into the crystal, the energy increment over which χ = 1 corresponds to the thickness of the amorphous region.

Fig. 2.12
figure 12

The influence of crystal defects on ion scattering

Ion channeling includes different problems when using ions for materials processing and analytics. The most important problems are:

  1. (a)

    Deviation from normal implanted profiles

  2. (b)

    Quantification of target damage

  3. (c)

    Determination of depth of analysis

  4. (d)

    Determination of scattering cross sections

To overcome these problems, for example during ion beam analysis, following actions can be implemented:

  1. (a)

    Minimizing of target damage can be realized by

    • Increasing the detector solid angle

    • Analyzing only near channeling directions

    • Moving the analyzing spot

    • Using high energy and low mass ions

      Because ion channeling is a relatively well-established method to measure lattice damage of the target (minimum detectable impurity atomic fraction 10−3–10−4) it must be compared with other analytical methods, e.g., transmission electron microscopy. That is why we must take into consideration the following facts:

  2. (b)

    Depth of analysis:

    • The stopping powers of a channeling and a randomly directed ion beam are assumed to be equal (so-called Aarhus convention)

    • For lattice location of impurities the channeling yields of both host and impurity atoms are compared

  3. (c)

    Determination of scattering cross sections:

    • Frequently only normalized yields rather than absolute yields can be used

    • For heavier ions standards are required

As a rule, these procedures allow a quantitative or at least semiquantitative valuation of ion channeling effects.

2.5 Ion Induced Target Modifications

2.5.1 Ion Implantation

Ion implantation is a low-temperature technique for the introduction of dopants into targets, especially into semiconductors. Actually, it is the most important doping process in Si planar technology because it offers more flexibility than atomic diffusion. For instance in MOS transistors ion implantation allows to adjust precisely the threshold voltage. Further advantages are short process times, excellent fluence homogeneity over large waver areas, the fabrication of very small device structures, dopant mass separation during implantation and adjustable doping profile by multiple implantation. This is not possible by diffusion techniques.

In ion implantation, dopant atoms are volatilized, ionized, accelerated, separated by mass-to-charge ratios, and directed at a target that is typically a Si substrate or another semiconductor. The atoms collide with the host atoms, lose energy, and rest at a penetration depth, determined by the dopant, the substrate material, and the ion energy. The implantation parameters vary in a wide range:

  • Energy ranges from 100 eV to some MeV

  • Penetration depth from <10 nm to 10 μm

  • Fluence range from 1011 to 1018 cm−2

The ion implantation technique bases essentially on a patent of Shockley and Noice pended in 1957 [46] and on theoretical investigations of Lindhard et al. [28]. For the last 40 years ion implantation became the key technology for the production of ultra-large-scale integrated (ULSI) circuits as Si processors and memory devices. Present activities for further technical development in semiconductor integrated circuit technology concern new annealing techniques after ion implantation for removing of ion-induced crystal damage and implanted dopant activation [47, 48] as well as the application of laser doping.

In the frame of this chapter only some important fundamentals shall be compiled. Each implanted ion traverses a random path as it penetrates the target, losing energy by nuclear and electronic stopping, S n(E) and S e(E), respectively, as discussed in Sect. 2.3. Since implantation fluences are usually higher than 1011 cm–2 ion trajectories are predicted employing statistical means.

The range R of an ion with the initial energy E o in the target material can be determined from the stopping powers S n(E) and S e(E):

$$ R = \frac{1}{N}\int_0^{{{E_0}}} {\frac{{{\rm{d}}E}}{{{S_{\rm{n}}}(E) + {S_{\rm{e}}}(E)}}} $$
(2.26)

with N the concentration of the implanted ions.

The average depth of the implanted ions is called the projected range R p (projection of R on direction of ion incidence) and the distribution of the implanted ions about the depth x can be approximated by a Gaussian profile with a standard deviation ΔR p roughly determining the thickness of the implanted layer. For a given ion implantation fluence Φ the Gaussian profile describing the range distribution of the implanted ions N(x), also called dopant concentration profile, can be written as

$$ N(x) = {N_{{\max }}} \cdot \exp - \left[ {\frac{{{{(x - {R_{\rm{p}}})}^2}}}{{2 \cdot \Delta R_{\rm{p}}^2}}} \right], $$
(2.27)

where the maximum of the concentration profile at x = R p is given by

$$ {N_{{\max }}} = \frac{\Phi }{{\sqrt {{2\pi }} \cdot \Delta {R_{\rm{p}}}}} = \frac{{0.4 \cdot \Phi }}{{\Delta {R_{\rm{p}}}}}. $$
(2.28)

The projected ion straggling ΔR p can be approximated in terms of the projected range R p and the masses of implanted ions m 1 and target atoms m 2 by the following expression after Lindhard and Scharff [49]:

$$ {\rm{\Delta }}{R_{\rm{p}}} \cong \frac{{2 \cdot {R_{\rm{p}}}}}{3} \cdot \left[ {\frac{{\sqrt {{{m_1} \cdot {m_2}}} }}{{{m_1} + {m_2}}}} \right]. $$
(2.29)

Typical depth concentration profiles for B and As ion implantations in Si with 1015 cm−2 fluences and different initial energies are depicted as examples in Fig. 2.13

Fig. 2.13
figure 13

Theoretical Gaussian depth-concentration profiles for B and As ion implantation in Si with fluences of 1015 cm−2 (see e.g. [50])

[50]. These profiles can be well described by a Gaussian distribution.

In spite of the advantages discussed above ion implantation is also connected with some disadvantages. To these belong:

  • Deviation from Gaussian profile by additional disturbing effects (defect-enhanced diffusion, channeling)

  • Formation of irradiation damage up to amorphization

  • Subsequent annealing necessary for ion induced crystal damage removal

  • Ion implantation only possible for near-surface regions

The reason for irradiation damage buildup is the development of crystal defects by target atoms displaced from their lattice sites leading to interstitial atoms and vacancies in the crystal lattice. This is illustrated in Fig. 2.14, which shows the number of displaced atoms per target atom in dependence on the ion fluence [51, 52]. There is a coexistence of crystalline and amorphous phases, the relative fraction of which changes with the implantation fluence. In the weak damage range I the defect density increases continuously with growing implantation fluence. In the medium damage range II the implantation temperature-dependent plateau exists, characterized by an equilibrium between defect generation and annihilation. At low implantation temperature (e.g., for cooled samples) the defect recombination only plays a minor role. Further increasing of the implantation fluence causes an enhanced defect accumulation and a collapse-like target amorphization will take place (region III).

Fig. 2.14
figure 14

Number of displaced atoms per target atom in dependence on the ion fluence [51, 52]

Ion implantation also modifies surface-sensitive material properties introducing impurities and structural changes in the host matrix. This can induce disturbing effect, but in most cases ion implantation or irradiation is used as means of beneficially modifying the mechanical, chemical, or also the optical, magnetic, and electronic properties of materials. Table 2.2 summarizes some research fields for the application of ion beam modified material surfaces (after [20]).

Table 2.2 Surface-sensitive material properties influenced by ion implantation

2.5.2 Ion Mixing

In an inhomogeneous multicomponent substrate, the relocation of atoms due to ion knock-on and ion collision cascades results in “mixing” of the atoms. This can be an intermixing or also alloying of its sample constituents. Prototypes of such inhomogeneous materials are thin marker layers of atoms A in an otherwise homogeneous material B, which is broadened under ion irradiation, or a stack of two homogeneous layers of different materials A and B, the interface of which becomes blurred under ion irradiation. Ion mixing was first investigated by Van der Weg et al. [53]. More recently some review articles were published by Cheng [54], Nastasi and Mayer [55], and Bolse [56].

The relocation of the marker is characterized by the relocation cross section dσ(x, y) describing the displacement z of a marker atom (at original depth x) along the depth axis. Under ion irradiation with a fluence Φ ion mixing can be described as a multiple relocation process with mean displacement \( \overline {\Delta z} \):

$$ \overline {\Delta z} = \Phi \int_z {z \cdot {\rm{d}}\sigma (x,z)} . $$
(2.30)

This is valid for small relocations where the cross section dσ(x, z) remains constant. The variance of the relocation distribution can be expressed by the standard deviation Ω m:

$$ \Omega_m^2 = {(\Delta z - \overline {\Delta z} )^2} = \Phi \int_z {{z^2}{\rm{d}}\sigma (x,z)} . $$
(2.31)

With (2.30) and (2.31) a Gaussian marker distribution around Δz with a standard deviation Ω m can be described in first order.

Collisional ion mixing is a more complicated process which can be described by three mechanisms in a marker system (Fig. 2.15):

Fig. 2.15
figure 15

Mechanisms of ion mixing in a marker system: (a) matrix relocation, (b) marker relocation, (c) cascade mixing of the marker

  1. (a)

    Matrix relocation by ion collision with matrix atoms into or beyond the marker, resulting in marker broadening and a shift towards the surface

  2. (b)

    Marker relocation by ion collision with marker atoms, contributing to profile broadening and a shift towards the bulk

  3. (c)

    Cascade mixing, where collision cascades generate by large energy transfers to marker atoms and the marker is broadened

These mechanisms occur simultaneously, interacting in a complicated way.

Figure 2.16 shows an example of ion mixing of a thin Pt marker in Si by 300 keV Xe+ ions. Theoretical predictions, computer simulations, and experimental results are reproduced. The thin lines display results from linear cascade theory [57] for multiple matrix relocation (solid line), multiple marker relocation (dashed line), and cascade mixing (dashed-dotted line, half-width-at-half-maximum (HWHM) data).

Fig. 2.16
figure 16

Theoretical predictions, computer simulation data and experimental results for ion mixing of a thin Pt marker in Si by 300 keV Xe+ irradiation [25, 57, 58]

For the latter, a relocation threshold energy of 7.83 eV and an associated mean projected range of 0.3 nm have been assumed. The small symbols show HWHM results from a TRIDYN computer simulation with relocation threshold energies of 4 eV (full dots), 8 eV (crosses), and 25 eV (open dots). Squares are from different experimental HWHM data. The dotted line represents a fit through experimental data obtained at a marker depth of 50 nm [58]. The experimental data give best accordance with the course of the solid line. That means the linear cascade theory for multiple matrix relocation reflects the reality with good approximation.

Ion mixing of bilayer systems with different atomic masses will show additional effect (especially in the case of very high energy ions of tens or hundreds of MeV energy, see region III in Fig. 2.4), attributed to chemical atom interactions in the collision cascade [54, 55]. This interaction can be described by the enthalpy of mixing ΔH mix, which is a measure for compound formation from its constituents. The cohesive energy ΔH coh of the compound represents the average sublimation energy of the compound. The mixing parameter in a bilayer system is given by a semi-empirical formula of Johnson et al. [59]:

$$ M = {K_1} \cdot {n^{ - }}^{{5/3}} \cdot {\left( {\frac{{{S_{\rm{n}}}{(}\bar{E}{)}}}{{{\rm{\Delta }}{H_{\rm{coh}}}}}} \right)^2} \cdot \left( {1 + {K_2} \cdot \frac{{{\rm{\Delta }}{H_{\rm{mix}}}}}{{{\rm{\Delta }}{H_{\rm{coh}}}}}} \right), $$
(2.32)

where n is the average atomic density of the bilayer system and K 1, K 2 are universally valid constants (K 1 = 0.0037 nm, K 2 = 27).

Ion mixing in bilayer or trilayer systems can lead to so-called low-energy elastic thermal spikes in which transient diffusion results in atomic transport. This allows the formation of molten tracks in a multilayer system, and the effect was investigated in detail by Leguay et al. [60] and Wang et al. [61].

The formation of molten tracks as a result of ion mixing was also found for covalent and ionic compounds [62]. As an example Fig. 2.17 shows the critical determination of the mixing process by electronic stopping power S e. Stopping takes place in the different top oxide layers on the SiO2 backing which is more easily liquified. As expected, the mixing parameter M scales quadratically with the stopping power S e above a characteristic threshold associated with the formation of molten tracks [25, 62].

Fig. 2.17
figure 17

Mixing parameter M in dependence on the electronic stopping S e in three different top oxide layers on SiO2 (linear fits with the corresponding threshold values of S e) [62]

2.5.3 Ion Sputtering

Ion sputtering is the erosion of a sample surface under energetic ion bombardment. In this process surface atoms are removed either by primary or by secondary collisions of the incoming ions or the recoiled atoms with the target atoms in near-surface regions of a solid, respectively. If a collision cascade of primary ions intersects the surface, sufficient energy can be transferred to a near-surface atom to overcome its binding energy to the surface. So it can be ejected from the surface as a sputtered ion or neutral. A schematic presentation of this sputter process in the linear cascade regime is given in Fig. 2.18.

Fig. 2.18
figure 18

Schematic presentation of ion sputtering process in the linear cascade regime

As the ion sputtering process will be detailed discussed in Chap. 5, here only the most important facts shall be briefly mentioned. The sputtering yield Y is defined as the mean number of emitted target atoms per incident ion:

$$ Y = \frac{{{j_{\rm{sp}}}}}{{{j_{\rm{i}}}}}, $$
(2.33)

where j i, j sp are the fluxes of incident and sputtered particles, respectively. The sputtering yield typically lies in the range between 1 and 10, and it depends upon a lot of physical parameters, such as

  • Ion mass number

  • Ion energy

  • Ion beam direction

  • Target atom mass number

  • Chemical reactivity between primary ions and target atoms

For more details the reader is referred to Chap. 5. An extensive list of sputtering yields was published by Matsunami et al. [63] and later on by Nastasi and Mayer [20, 21]. Yields can also be calculated using SRIM Monte Carlo Simulations [64]. For single-element materials the energy dependence of sputtering yield Y(E) can be predicted by theory. As an example Fig. 2.19 shows the ion energy dependence of the sputter yield Y(E) for Ar sputtering of Si. The solid line representing calculations of Sigmund and Gras–Marti [57] and the data points after experiments of Andersen and Bay [65] are in good agreement.

Fig. 2.19
figure 19

Energy dependence of the Ar+ ion induced sputtering yield of Si. Solid line represents calculations of Sigmund [57], data points are experimental results [65]

The course of Y(E) can be understood under the assumption that the yield is proportional to the number of displaced or recoil atoms. Furthermore, for medium mass ions as Ar—the number of recoils is proportional to the energy deposited per unit depth by the nuclear energy loss:

$$ Y = \Lambda \cdot {F_{\rm{D}}}(E) $$
(2.34)

with Λ the materials factor, F D(E) the energy deposited per unit length at the surface. F D(E) can be expressed as

$$ {F_{\rm{D}}}(E) = \alpha \cdot N \cdot {S_{\rm{n}}}(E) $$
(2.35)

with N the atomic density of target atoms, S n(E) the nuclear stopping cross section and α the correction factor.

From (2.34) and (2.35) follows a proportionality between yield and nuclear stopping cross section: Y ~ S n(E). Furthermore, S n(E) is proportional to the screening function S n(ε) after Ziegler et al. [64] showing the same typical energy dependence as Y(E) does in Fig. 2.19.

More complicated ion sputtering conditions exist for polycrystalline and/or multi component target systems where phenomena as selective sputtering and preferential sputtering may occur. These effects rely on different sputtering yields for different incidence angles and for different atomic species in the target. These effects will be discussed in more detail in Chap. 5.