Skip to main content

An Overview of Multicast Routing Algorithms in Network on Chip

  • Conference paper
  • First Online:
Intelligent Computing Paradigm and Cutting-edge Technologies (ICICCT 2020)

Abstract

In this silicon era, the major issues in CMOS submicron technologies are characterized by extended gate lengths which introduce delays, signal integrity and unsynchronized communications. Network-on-chip (NoC) is used to interconnect IP blocks of complex System-On-Chip (SoCs) which is a better alternative for bus-based architecture to solve the above issues, including scalability and performance limitations. This survey gives an overview of the basics of NoC based systems and presents a detailed survey of multicast routing algorithms to be used on NoCs. In this review, various research proposals based on multicast routing algorithms are chosen to high point the up-to-date approaches and analyses implementation results. The key target of this survey is to comprehensively review inspired multicast routing algorithms on NoC, with various kinds of evaluation parameters reported still now. For better understanding for the reader, this paper summarizes the basics of NoC topology, switching techniques along with the detailed review.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Subscribe and save

Springer+ Basic
$34.99 /Month
  • Get 10 units per month
  • Download Article/Chapter or eBook
  • 1 Unit = 1 Article or 1 Chapter
  • Cancel anytime
Subscribe now

Buy Now

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 169.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 219.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 219.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Similar content being viewed by others

References

  1. Sumitra, V., Sivakumar, R., & Ko, S.-B. (2019). An improved low-power coding for serial network-on-chip links. Circuits, Systems, and Signal Processing, 39, 1896–1919.

    Google Scholar 

  2. Jan, C.-H., Bhattacharya, U., Brain, R., Choi, S.-J., Curello, G., Gupta, G., et al. (2012). A 22 nm SoC platform technology featuring 3-D tri-gate and high-k/metal gate, optimized for ultra-low power, high performance and high density SoC applications. In International Electron Devices Meeting Technical Digest (pp. 44–47).

    Google Scholar 

  3. International Roadmap for Semiconductors (ITRS). https://www.ITRS.net. Accessed on June 20, 2020.

  4. Guerrier, P., & Greiner, A. (2000). A generic architecture for on-chip packet-switched interconnections. In Proceedings of the Conference on Design, Automation and Test in Europe (pp. 250–256), March 27–30, Paris, France.

    Google Scholar 

  5. Duato, J., Yalamanchili, S., & Ni, L. (1997).Interconnection networks: An engineering approach. Los Alamitos, CA: IEEE CS Press.

    Google Scholar 

  6. Dally, W., & Towles, B. (2001). Route packets, not wires: On-chip interconnection networks. In Proceedings of the 38th Annual Design Automation Conference (pp. 684–689), Las Vegas, USA.

    Google Scholar 

  7. Akers, S. B., & Krishnamurthy, B. (1989). A group-theoretic model for symmetric interconnection networks. IEEE Transactions on Computers, 38, 555–566.

    Google Scholar 

  8. Chien, A. A. (1998). A cost and speed model for k-ary n-cube wormhole routers. IEEE Transactions on Parallel and Distributed Systems, 9(2), 29–36.

    Google Scholar 

  9. Adriahantenaina, A., Charlery, H., Greiner, A., Mortiez, L., & Zeferino, C. A. (2003). SPIN: A scalable, packet switched, on-chip micro-network. In Proceedings of the Conference on Design, Automation and Test in Europe: Designers’ Forum (pp. 20070–20074), USA.

    Google Scholar 

  10. Kumar, S., Jantsch, A., Soinien, J.-P., Forsell, M., Millberg, M., Oberg, J., et al. (2002). A network on chip architecture and design methodology. In Proceedings of VLSI IEEE Computer Society Annual Symposium (ISVLSI) (pp. 117–124).

    Google Scholar 

  11. Karim, F., Nguyen, A., & Dey, S. (2002). An interconnect architecture for networking systems on chips. IEEE Micro, 22(5), 36–45.

    Google Scholar 

  12. Pande, P. P., Grecu, C., Ivanov, A., & Saleh, R. (2003). Design of a switch for network on chip applications. In Proceedings of the 2003 International Symposium on Circuits and Systems, ISCAS’03 (pp. V–V), Bangkok.

    Google Scholar 

  13. Aghatabar, M., Koohi, S., Hessabi, S., & Pedram, M. (2007). An empirical investigation of mesh and torus NoC topologies under different routing algorithms and traffic models digital system design architectures, methods and tools. In Proceedings of the 10th Euromicro Conference on Digital System Design Architectures, Methods and Tools (pp. 19–26), Lubeck.

    Google Scholar 

  14. Hu, W.-H., Lee, S., & Bagherzadeh, N. (2008). Dmesh: A diagonally-linked mesh network-on-chip architectures. Journal of Network on Chip Architectures, 14.

    Google Scholar 

  15. Yang, Q., & Wu, Z. (2010). An improved mesh topology and its routing algorithm for NoC. In Proceedings of the International Conference on Computational Intelligence and Software Engineering (CiSE) (pp.1–4), Wuhan.

    Google Scholar 

  16. Hasan Furhad, Md., Haque, M. A., Kim, C.-H., & Kim, J. (2013). An analysis of reducing communication delay in network-on-chip interconnect architecture. Wireless Personal Communications, 73.

    Google Scholar 

  17. Viswanathan, N., Paramasivam, K., & Somasundaram, K. (2012). Exploring hierarchical, cluster based 3D topologies for 3D NoC. Proceedia Engineering, 30, 606–615.

    Article  Google Scholar 

  18. Zia., A., Kannan, S., Chao, H. J., & Rose, G. S. (2011). 3D NoC for many-core processors. Microelectronics Journal, 42(12), 1380–1390.

    Google Scholar 

  19. Chen, Y., Hu, J., Ling, X., & Huang, T. (2012). A novel 3D NoC architecture based on De Bruijn graph. Journal of Computers & Electrical Engineering, 38(3), 801–810.

    Article  Google Scholar 

  20. Kinsy, M. A., Cho, M. H., Wen, T., Suh, E., Van Dijk, M., & Devadas, S. (2009). Application-aware deadlock-free oblivious routing. In Proceedings of the International Symposium on Computer Architecture (pp. 208–219).

    Google Scholar 

  21. Carara, E., & Moraes, F. G. (2008). Deadlock-free multicast routing algorithm for wormhole-switched mesh networks-on-chip. In Proceedings of VLSI IEEE Computer Society Annual Symposium (ISVLSI) (pp. 341–346).

    Google Scholar 

  22. Ling, W., Song, H., Jiang, Y., & Zhang, L. (2009). A routing-table-based adaptive and minimal routing scheme on network-on-chip architectures. Journal of Computers & Electrical Engineering, 35, 846–855.

    Google Scholar 

  23. Ascia, G., Catania, V., Palesi, M., & Patti, D. (2008). Implementation and analysis of a new selection strategy for adaptive routing in networks-on-chip. IEEE Transactions on Computers, 57(6), 809–820.

    Article  MathSciNet  Google Scholar 

  24. Xiang, D., Zhang, Y., Pan, Y., & Wu, J. (2007). Deadlock-free adaptive routing in meshes based on cost-effective deadlock avoidance schemes. In Proceedings of the International Conference on Parallel Processing (ICPP’07) (pp. 41–41).

    Google Scholar 

  25. Ebrahimi, M. (2013). Fully adaptive routing algorithms and region based approaches for two-dimensional and three-dimensional networks on-chip. IET Computers and Digital Techniques, 7(6), 264–273.

    Article  Google Scholar 

  26. Dahir, N., Mak, T., Al-Dujaily, R., & Yakovlev, A. (2013). Highly adaptive and deadlock-free routing for three-dimensional networks-on-chip. IET Computers and Digital Techniques, 7(6), 255–263.

    Google Scholar 

  27. Dahir, N., Al-Dujaily, R., Yakovlev, A., Missailidis, P., & Mak, T. (2012). Deadlock-free and plane-balanced adaptive routing for 3D networks-on-chip. In Proceedings of the Fifth International Workshop on Network on Chip Architectures (NoCArc’12) (pp. 31–36).

    Google Scholar 

  28. Ying, H., Jaiswal, A., Hollstein, T., & Hofmann, K. (2013). Deadlock-free generic routing algorithms for 3-dimensional Networks-on-Chip with reduced vertical link density topologies. Journal of Systems Architecture, 59, 528–542.

    Article  Google Scholar 

  29. Akbari, S., Shafiee, A., Fathy, M., & Berangi, R. (2012). A low cost high performance reliable routing for 3D mesh NoCs. In Proceedings in the Design Automation Test in Europe (DATE) (pp. 332–337).

    Google Scholar 

  30. Agyeman, M. O., Ahmadinia, A., & Shahrabi, A. (2013). Efficient routing techniques in heterogeneous 3D networks-on-chip. Journal of Parallel Computing, 39(9), 389–407.

    Article  Google Scholar 

  31. Shi, Z. W., You, K. D., Ying, Y., Huang, B., Zeng, X. Y., & Yu, Z. Y. (2010). A scalable and fault-tolerant routing algorithm for NoCs. In Proceedings of 2010 International Symposium on Circuits and Systems, ISCAS (pp. 165–168), Paris, France.

    Google Scholar 

  32. Li, M., Zeng, Q. A., & Jone, W. B. (2006). DyXYA proximity congestion-aware deadlock-free dynamic routing method for networks on chip. In Proceedings of the 43rd ACM/IEEE Design Automation Conference (pp. 849–852).

    Google Scholar 

  33. Ili, M. R., Petrovi, V. Z., & Jovanovi, G. S. (2012). Simulation of synchronous network-on-chip router for system-on-chip communication. In: 20th Telecommunications Forum TELFOR (pp. 506–509).

    Google Scholar 

  34. Suseela, J., & Muthukumar, V. (2012). Loopback virtual channel router architecture for network on chip. In Proceedings of Ninth International Conference on Information Technology: New Generations ITNG (pp. 534–539).

    Google Scholar 

  35. Wang, L., Jin, Y., Kim, H., & Kim, E. J. (2009). Recursive partitioning multicast: A bandwidth-efficient routing for networks-on-chip. In Proceedings of the 3rd ACM/IEEE International Symposium on Networks-on-Chip (pp. 64–73), San Diego.

    Google Scholar 

  36. Kim, J. (2007). A novel dimensionally-decomposed router for on-chip communication in 3D architectures. In Proceedings of the International Symposium on Computer Architecture (pp. 138–149).

    Google Scholar 

  37. Holsmark, R., Palesi, M., & Kumar, S. (2008). Deadlock free routing algorithms for irregular mesh topology NoC systems with rectangular regions. Journal of Systems Architecture, 427–440.

    Google Scholar 

  38. Alhussien, C. W., & Bagherzadeh, N. (2012). Design and evaluation of a high throughput robust router for network-on-chip. IET Computers and Digital Techniques, 6(3), 173–179.

    Article  Google Scholar 

  39. Jan, G. E., Leu, S.-W., Liou, W. R., & Chen, S. I. (2009). FPGA implementation of a multicasting crossbar switch. In Proceedings of the IEEE International Conference on Microelectronics-ICM (pp. 19–22).

    Google Scholar 

  40. Yoshima, S., Wada, N., Cincotti, G., Miyazaki, T., & Kitayama, K. (2008). Multicast-capable optical-code label packet switch: Proposal and its experimental demonstration. Journal of Optical Switching and Networking, 5(1), 29–37.

    Google Scholar 

  41. Yan, S., & Lin, B. (2009). Joint multicast routing and network design optimization for networks-on-chip. lET Computers & Digital Techniques, 443–459.

    Google Scholar 

  42. Kang, Y. H., Sondeen, J., & Draper, J. (2009). Implementing tree-based multicast routing for write invalidation messages in networks-on-chip. In Proceedings of the 52nd IEEE International Midwest Symposium on Circuits and systems (pp. 1118–1121), Cancun.

    Google Scholar 

  43. Li, J., Xue, C. J., & Xu, Y. (2010). LADPM: Latency-aware dual-partition multicast routing for mesh-based network-on-chips. In Proceedings of the 2010 IEEE 16th International Conference on Parallel and Distributed Systems (pp. 423–430), Shanghai.

    Google Scholar 

  44. Samman, F. A. (2014). Runtime connection-oriented guaranteed-bandwidth network-on-chip with extra multicast communication service. Journal of Microprocessors and Microsystems, 38(2), 170–181.

    Google Scholar 

  45. Senin, V., Mhamdi, L., & Goossens, K. (2009). Efficient multicast support in buffered crossbars using networks on chip. In Proceedings of the 28th IEEE Conference on Global Telecommunications (pp. 1–7).

    Google Scholar 

  46. Daneshtalab, M., Ebrahimi, M., Mohammadi, S., & Afzali-Kusha, A. (2009). Low-distance path-based multicast routing algorithm for network-on-chips. IET Computers and Digital Techniques, 3(5), 430–442.

    Article  Google Scholar 

  47. Robinson, D. F., Mckinley, P. K., & Cheng, B. H. C. (1997). Path-based multicast communication in wormhole-routed unidirectional torus networks. Journal of Parallel and Distributed Computing, 45, 104–121.

    Article  Google Scholar 

  48. Ebrahimi, M., Daneshtalab, M., Liljeberg, P., & Tenhunen, H. (2009). An adaptive unicast/multicast routing algorithm for MPSoCs. In Proceedings of the 12th Euromicro Conference on Digital System Design Architectures, Methods and Tools (pp. 203–206), Patras.

    Google Scholar 

  49. Xiang, D., & Zhang, Y. (2011). Cost-effective power-aware core testing in NoCs based on a new unicast-based multicast scheme. IEEE Transactions on Computer-Aided Design, 30(1), 135–146.

    Article  Google Scholar 

  50. Saravanakumar, U., & Rangarajan, R. (2012). Energy and throughput analysis of multicast routing algorithm for 2D mesh network on chip. Procedia Engineering, 30(2), 144–151.

    Article  Google Scholar 

  51. Moadeli, M., & Vanderbauwhede, W. (2009). A performance model of multicast communication in wormhole-routed networks on-chip. In Proceedings of the 2009 IEEE International Symposium on Parallel & Distributed Processing (pp. 1–8), Rome.

    Google Scholar 

  52. Ebrahimi, M., Daneshtalab, M., Liljeberg, P., & Tenhunen, H. (2010). Partitioning methods for unicast/multicast traffic in 3D NoC architecture. In Proceedings of the 13th IEEE Symposium on Design and Diagnostics of Electronic Circuits and Systems (pp. 127–132), Vienna.

    Google Scholar 

  53. Tutsch, D., & Hommel, G. (2008). MLMIN: A multicore processor and parallel computer network topology for multicast, Journal on Computers and Open Research, 35, 3807–3821.

    Google Scholar 

  54. Liu, J., Zheng, L.-R., & Tenhunen, H. (2004). Global routing for multicast-supporting TDM network-on-chip. In Proceedings of the 2004 International Symposium on System-on-Chip (pp. 17–20), Tampere, Finland.

    Google Scholar 

  55. Yan, S., & Lin, B. (2009). Custom networks-on-chip architectures with multicast routing. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 17(3), 342–355.

    Google Scholar 

  56. Samman, F. A., Hollstein, T., & Glesner, M. (2008). Multicast parallel pipeline router architecture for network-on-chip. In Proceedings of the Conference on Design, Automation and Test in Europe (pp. 1396–1401), Munich.

    Google Scholar 

  57. Meena, N. K., Kapoor, H. K., & Chakraborty, S. (2014). A new recursive partitioning multicast routing algorithm for 3D network-on-chip. In Proceedings of the 18th International Symposium on VLSI Design and Test (pp. 1–6), Coimbatore.

    Google Scholar 

  58. Vonbun, M., Wallentowitz, S., Feilen, M., Stechele, W., & Herkersdorf, A. (2013). Evaluation of hop count advantages of network-coded 2D-mesh NoCs. In Proceedings of the 23rd International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS) (pp. 134–141), Karlsruhe.

    Google Scholar 

  59. Rodrigo, S., Flich, J., Duato, J., & Hummel, M. (2008). Efficient unicast and multicast support for CMPs, 364–375. https://doi.org/10.1109/MICRO.2008.4771805.

  60. Wang, X., Palesi, M., Yang, M., Jiang, Y., Huang, M. C., & Liu, p. (2011). Low latency and energy efficient multicasting schemes for 3D NoC-based SoCs. In Proceedings of the IEEE/IFIP 19th International Conference on VLSI and System-on-Chip (pp. 337–342), Hong Kong.

    Google Scholar 

  61. Lee, K., Chang, C., & Yang, H. (2013). An efficient deadlock-free multicast routing algorithm for mesh-based networks-on-chip. In Proceedings of the 2013 International Symposium on VLSI Design, Automation, and Test (VLSI-DAT) (pp. 1–4), Hsinchu.

    Google Scholar 

  62. Arun, M., Jisha, P., & Jose, J. (2016). A novel energy efficient multicasting approach for mesh NoCs. Proceedia Computer Science, 93, 283–291.

    Google Scholar 

  63. Ben, R., Ge, F., Tong, X., Wu, N., Zhang, Y., & Zhou, F. (2018). A multicast routing algorithm for 3D network-on-chip in chip multi-processors. In Proceedings of the World Congress on Engineering WCE (Vol. 1), July 4–6, London, UK.

    Google Scholar 

  64. Liu, Z., Wu, N., Zhou, L., & Yan, G. (2015). A path optimized multicast routing algorithm for 3D network-on-chip. In Proceedings of the World Congress on Engineering and Computer Science 2015, WCECS 2015 (Vol. I, pp. 43–48), October 21–23, San Francisco, USA.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Sumitra Velayudham .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2021 The Author(s), under exclusive license to Springer Nature Switzerland AG

About this paper

Check for updates. Verify currency and authenticity via CrossMark

Cite this paper

Velayudham, S., Rajagopal, S., Kathirvel, S., Alhadidi, B. (2021). An Overview of Multicast Routing Algorithms in Network on Chip. In: Favorskaya, M.N., Peng, SL., Simic, M., Alhadidi, B., Pal, S. (eds) Intelligent Computing Paradigm and Cutting-edge Technologies. ICICCT 2020. Learning and Analytics in Intelligent Systems, vol 21. Springer, Cham. https://doi.org/10.1007/978-3-030-65407-8_14

Download citation

Publish with us

Policies and ethics