1 Introduction

NAND flash memory is reaching its miniaturization extent [1] the semiconductor industry has developed progressively involved in other substitute technologies which have developed scalability, very fast, larger endurance, and lesser operating power compared to conventional memory. In the latest periods, rising new technologies like phase change random access memory (PCRAM) [2], magnetic random access memory (MRAM) [3, 4], ferroelectric random access memory (FeRAM) [5], and RRAM [6,7,8,9,10,11,12,13,14,15,16] have been suggested to afford greater memory device density. Among these, RRAM is the most capable one owing to its quality of cost-effectiveness, quick switching speed, and great compatibility with the CMOS procedure [10,11,12].

In RRAM, the basic device construction is denoted as the MIM structure; the switching principle is changing the insulator material layer (resistance switching layer) resistance value by applying voltages between two electrodes. A low resistive state (LRS)/set and high resistive state (HRS)/reset in the dielectric material can be reached periodically by proper supply voltages to the electrodes. In general, for resistance switching (RS) layer, perovskites [17], chalcogenides [18], binary metal oxides [19,20,21,22,23], and nitrides [24] are obtainable. Across various RS layers, due to the easy manufacturing procedure, the binary transition metal oxides are expansively learned for the RRAM devices [19,20,21,22,23].

Through the binary transition metal oxides, HfO2 materials are desired due to their highly productive and decent characteristic with the CMOS technology. Specifically, HfO2-based conductive filament (CF) RRAM is the best demonstrative type. It has extraordinary memory performance [25,26,27]. The source of the RS in HfO2 is depending on the establishment and distraction of a CF produced by the migration of the oxygen vacancies (Vo) within the switching layer [28]. Recently HfO2-based RRAM has been intensively explored as a feasible possible choice for upcoming non-volatile memory [29] or neuromorphic computing devices [30].

This article concentrates on HfO2-based RRAM and examined its predictable RS principles, materials selection, dissimilar device structures, and important parameters which impact device performance. Moreover, we summarized the experimental data which denoted the technical past development in an HfO2 RRAM. Also, we analyzed the techniques to enhance the device uniformity and reliability, by dipping forming voltage, and various device structures with suitable fabrication techniques. This article ends with an evaluation of the future research development for the HfO2 RRAM devices.

2 Basic principles of resistive switching

The basic RS principle in HfO2 RRAM is based on the device resistance level changing between two finite resistance values (HRS and LRS) when required electric stress applied between two metal electrodes. The resistance value changed from HRS to LRS is called the set condition. Similarly, resistance changed from LRS to HRS is denoted as a reset condition. Even after the applied voltage is detached, the cell maintains its previous resistance value, which is the core principle of the non-volatile RRAM switching principle. All individual HfO2 memory cells required a one-time electroforming/forming voltage [31] need to initiate the switching process. The basic forming, set and reset operations are shown in Fig. 1.

Fig. 1
figure 1

Basic RRAM structure with forming, set and reset operation

Mostly, all HfO2-based RRAM cells are broadly working in two operating modes, unipolar and bipolar modes as indicated in Fig. 2. These modes are dependent on the applied voltage polarity for a set and reset process; in a unipolar mode, the set and reset conditions occur in the same voltage polarity directions at different voltage amplitudes. Bipolar mode operation is depending on the applied voltage polarity in which set and reset operations are achieved in opposite polarity voltage values. In both modes, to prevent the device from a permanent dielectric breakdown, optimized compliance current (CC) value is applied. Also, to retrieve data (read operation), a minimum voltage is required without any effect on the cells’ HRS and LRS level change [31].

Fig. 2
figure 2

RRAM switching modes

We introduce the general classification in an RRAM. Broadly, three types of RS principles are involved in RRAM switching operation. (1) Creating a CF build by Vo migrations in the SL. Most of the binary metal oxides (including HfO2) are under this category called oxide-based RRAM. (2) The CF is composed of metal atoms, represented as conductive bridge resistive random access memory (CBRRAM). (3) Electronic mechanism in which charge trapping/de-trapping function is responsible for the resistive switching.

2.1 Oxide-based RRAM

In an oxide-based RRAM, the oxide defects are common in nature due to the defects Vo produces. The Vo concentration and distribution can affect the electrical resistance of the materials. In most of the semiconductor oxides, Vo acts as donors. Developing and relocation of anions/cations cause a valence change of the ions. This point defects migration is known as valence change memory. Generally, charge defects movement produces a switching (bipolar) mechanism, when the external field is high. For better resistance switching (resistance value changes from high to low or low to high), considerable point defects are needed. A combination of defects started the cluster formation, producing a conducting filament. HfO2 RRAM is mostly working based on this Vo movement of CF logic. In the M-I-M structure, when the positive electric field is applied on the top electrode, conducting filament rupture/formation is produced by the action of Vo movement in up and down direction as shown in Fig. 3. The set and reset are strongly dependent on the formation and rupture of Vo. Beyond this basic operation, Vo plays a varied role in RS, which can be explained by the following three ways,

Fig. 3
figure 3

RS mechanism in oxide-based RRAM

(1) The CF is created due to the cluster of Vo when the electric field is applied in between electrodes. (2) In some M-I-M structures, the applied voltages in between metal electrodes build an interface layer (IL). Also, based on the work function difference of electrode and oxide layer, the Schottky barrier is produced. This barrier height can be modulated based on the Vo concentration and distribution. The height deviation switches the electrical resistance of the device. (3) The Vo can create and trap vacancy for the electron in the Schottky barrier region. Once the electrons are trapped in the trap vacancy which is created by Vo, due to neutralization, the Schottky height is modulated, which yields the RS effect.

Based on the mobile ions type and its migration, the RRAM switching mechanism are classified into three major categories: (i) cation RRAM (when we use active electrode metal, CF formation only by the cations-commonly known as electrochemical metallization memories (ECM); (ii) anion RRAM (CF formation only by anions like oxygen vacancy-most common in transition metal oxides-known as valence change memories (VCM); (iii) dual ionic RRAM (CF creation depends on both cations and anions).

Wedig et al. demonstrated in transition metal oxide semiconductors, and the CF creation does not only depend on the Vo. In addition to that, it is based on host cation movement of Ti, Hf, and Ta in TiO2, HfO2, and Ta2O5 respectively. These combined anions (Vo) and host cations lead to resistive switching in oxide-based M-I-M structures. Results concluded that, by appropriate usage of the interface layer, RRAM switching is converted from VCM to ECM switching operation [32]. Figure 4 represents the set function by both anion and cations in HfO2 film. These two mobile ion barrier energy values play a role in initiating the switching process.

Fig. 4
figure 4

CF formation by Vo and cations [32]

[33]. Recently, Wen Sun et al.’s review article showed a detailed analysis regarding dual (CF formation by both Vo and host oxide cations) ionic devices [34]. Moreover, H’ector García et al. present set and reset switching transitions are controlled over by the capacitor instead of a conventional voltage or current controlled switching operation. In this way, the CF filament is easily controlled by two independent parameters (voltage and discharge time) of a discharging capacitor [35]. Napolean et al. reviewed the significance of compliance current (CC) value plays in CF width, switching voltages, and reliability of the memory cell [36].

2.1.1 CBRAM

In some MIM structure, when one oxide reactive metal electrode (Cu+) is kept in an intermediate electrochemical potential value, movable cations undergo the electrochemical redox reaction and lead to bipolar switching. The set progression is a result of metallic filament creation and the resetting phenomenon stands on the termination of the metallic filament as explained in Fig. 5 [37]. The entire set and resetting process are explained as, initially, when a positive voltage is applied on TE, oxidation occurs; it creates Cu+ and electrons shown in Fig. 5b. Cu+ ion movements initiate the reduction process. After reduction, Cu metal atoms are accumulated from BE to TE. It builds a CF in between electrodes, yields a set condition depicted in Fig. 5c. After a negative voltage is applied on TE, again oxidation happens, it breaks the CF, and the device becomes reset condition mentioned in Fig. 5d.

Fig. 5
figure 5

(a–d) Basic switching action of CBRAM

2.2 Electronic switching mechanism

In this method, CF is created due to ion movements and the redox concept. Charge trapping/de-trapping–dependent devices are operating based on an electronic mechanism. Odagawa et al. showed the Pt/PCMO/Ag RRAM cell RS is explained by trapping and de-trapping of charge carriers/holes since the PCMO-centered cells obey the trap controlled space charge limited current (SCLC) principle. These trap-filling and trap-de-filling methods owing to the powerful electron relationship perform a vital effect in the RS phenomenon.

2.3 Oxygen vacancy theory and modeling

Various switching theories/simulations are used to describe the Vo generation, recombination, and diffusion processes in the above-mentioned three switching mechanisms. In RRAM, the microscopic physics depends on point defects and further creation/rupture of CF in a switching layer. Vo and CF formation theory help the researcher to move towards successful switching modeling. Yuehua Dai et al. demonstrated the effects of microscopic parameters like crystal orientation and doping concentration on the CF formation in HfO2-based RRAM. They concluded out of ten crystal orientations only in four orientations (011, 100, 010, and 001) CF is produced. Also, they analyzed the RRAM metrics for different stoichiometry values. HfOx = 1.875 (Vo concentration is 4.16%) gives reduced operating voltages and high uniformity. Below 4.167% of Vo concentration, RS is absent. Recently, Desmond et al. described the switching model by relating the microscopic parameter of activation energy, Vo density (nd) with a macroscopic parameter of switching voltages, and hopping current conduction mechanism. Results are validated with a kinetic Monte Carlo (KMC) simulation. In the set function, the rate of Vo and oxygen ion creation is given by [38]

$${G}_{F}\left(x,y,z\right)=\vartheta .\mathrm{exp}\left(-\frac{{E}_{A}-{p}_{0} .\left[\frac{\left(2+k\right)}{3}\right].F\left(x,y,z\right)}{{k}_{B} .T\left(x,y,z\right)}\right)$$
(1)

\(\vartheta\)- effective vibration frequency, EA—energy required to break the Hf–O bond.

F—applied electric field, \({p}_{0}\)—dipole moment of HfO2, k—dielectric constant relative to air.

In reset operation, the diffusion rate and recombination rate equations are given in Eqs. (2) and (3)

$${R}_{D}\left(x,y,z\right)=\vartheta .\mathrm{exp}\left(-\frac{{E}_{A,D}-{K}_{D} .{F}_{EFF}\left(x,y,z\right)}{{k}_{B} .T\left(x,y,z\right)}\right)$$
(2)
$${R}_{R}\left(x,y,z\right)=\vartheta .\mathrm{exp}\left(-\frac{{E}_{A,R}}{{k}_{B} .T\left(x,y,z\right)}\right)$$
(3)

\({R}_{D}\)—rate of diffusion, \({E}_{A,D}\)—Activation energy in a diffusion process, \({K}_{D}\)—material property related to HfO2, \({F}_{EFF}\)—diffusion direction electric field, \({R}_{R}\)—rate of recombination, \({E}_{A,R}\)—activation energy during recombination process. Moreover, the developed Vo diffusion process is controlled by inserting a metal layer between electrodes and metal oxides. Linggang Zhu et al. demonstrated point defects are modulated by the addition of graphene in between TE and SL. Graphene blocks the atom diffusion, which leads to the change in the formation energy of Vo at the interface is measured [39],

$${E}_{f}={E}_{\mathrm{interface}}\left(n\mathrm{Vo}\right)-{E}_{\mathrm{interface}}+\frac{n}{2} E\left({\mathrm{O}}_{2}\right)$$
(4)

\(E\left({\mathrm{O}}_{2}\right)\)—oxygen molecule energy, \(n\mathrm{Vo}\)—total number of Vo.

In an ECM mechanism type, atom diffusion from active metal electrode into HfO2 SL, segregation energy is calculated as

$${E}_{s}={E}_{m-\mathrm{bulk}}-{E}_{m-\mathrm{interface}}$$
(5)

\({E}_{m-\mathrm{bulk}}\)—energy, when the metalic element is staying the Hf02.

\({E}_{m-\mathrm{interface}}\)—energy, when the metalic element is staying at the interface.

2.4 Conduction mechanism of resistive switching in binary oxides

The physics involved in an RRAM is a complex procedure. In a binary metal oxide, the switching mechanism is dominated by the ionic effect related to electrochemical reactions to create a CF between two metal electrodes [40, 41]. It is popularly represented as redox/oxidation principles. The CF is formed in a metal oxide RRAM, which leads the memory cell into an LRS. It is observed by using conductive atomic force microscopy (CAFM) in the metal oxides. After the forming operation [42], D.H.Kwon et al. demonstrated the 10-nm diameter CF in a TiOx by high-transmission electron microscopy (HRTEM) [43].

The CF structure development along the switching layer is an unpredictable process. It may vary from the single filament to multiple filament structures, based on that HRS/LRS ratio can change. Mostly in an HfO2 RRAM cell, monoclinic and amorphous structure [44] stated that Vo creates a defects state in metal oxides. P. Calka confirmed the CF diameter (~ 20 nm) by HRTEM analysis [45]. S. Privitera et al. demonstrated the CF formation by a metallic Hf in an HfOx RRAM [46]. For an easy method to identify a CF nature, whether the CF behaviors are metal or semiconductor, the temperature versus LRS values is obtained. When the temperature raises, LRS rising, it ensures the metallic nature otherwise semiconducting nature. Many studies show LRS current–voltage (IV) characteristics follow the linear or Ohmic rules.

The HRS IV characteristic of a metal oxide RRAM is a very complex procedure, in which various types of physical phenomena are observed. W.Y. Chang et al. and Y.M. Kim et al. observed Poole–Frenkel emission [47, 48]. Z. Wei et al. and C.Y. Lin et al. noted the Schottky emission [49, 50]. Furthermore, Q. Liu et al. and H.Y. Lee et al. ensured the IV in HRS is based on SCLC [51, 52]. Figure 6 and Table 1 explain all the possibilities of electron transport physical mechanism from cathode to anode [53].

Fig. 6
figure 6

Possible electron conduction physics in an M-I-M structure [53]

Table 1 Different conduction physics phenomenon in metal oxide RRAM

Finally, depending on the dielectric characteristics, bandgap energy, fabrication technology, and the presence of an interface layer, the conducting path and conducting mechanism are varied. Also at a low bias voltage, the IV graph simply follows the fixed electron conduction method of the CF. In the case of high bias voltage, the CF creation/rupture and resistance values are random in nature.

Practically, the current conduction mechanism in RRAM is not a unique description; it varies based on the material structure and other factors. Mi Ra Park et al. fabricated three different devices Pt/Ti/TaOx/Pt, Pt/Ti/HfO2/Pt, and Pt/Ti/TaOy/HfO2/Pt (D1, D2, and D3 respectively), and in all three devices in LRS, Ohmic conduction is dominant, but in HRS, D1-Poole–Frenkel (PF) and SCLC, D2-Schottky, and D3-Schottky and SCLC [54]. In Wei Zhang et al., trilayer structure [55] shows Ohmic and SCLC in LRS and HRS respectively. Later M.M.Mallol et al. investigated the electrical conduction in a Ni/Al2O3/HfO2/n + -Si stack layer memory cell. They accomplished that in a fresh memory cell, Poole–Frenkel (at the low electric field) and Fowler–Nordheim (at the high electric field) are the dominated conduction mechanisms [56]. Upgrading of Vo yields a conduction change from one switching state to another state. Fang-Yuan conducted experiments in a nitridation-treated Pt/HfO2/TiN RRAM device. Suggested, after nitridation, at HRS the conduction is switched to Schottky emission from Poole–Frenkel. In LRS, current conduction becomes SCLC from Ohmic, confirmed that defect passivation is the major reason for this conduction switching operation [57].

Andrey et al. experimented and analyzed the current conduction mechanism by changing the switching layer oxidation level (fully, partially, and less) in Ti/HfOx/Pt structure. They concluded in a fully oxidized (HfO2) at both LRS and HRS state, Ohmic conduction is responsible. In a partially oxidized state (HfO2-x), trap-filled space charge limited conduction (TF-SCLC) plays a vital role in HRS. Also in a less oxidized state, both HRS and LRS are controlled by the TF-SCLC mechanism [58]. Recently, Muhammad Ismail et al. experimented in TaN/HfO2/ZrO2/Pt memory cell. They observed Schottky conduction in its switching state [59].

3 Materials and device structure fabrication

In RRAM literature, a still extensive collection of metal electrodes, insulator switching layers, diverse structure mixture of fabrication techniques are discussed for better device performance. The following sections analyzing the imperative materials which are used in binary metal oxide RRAM applications.

3.1 Switching materials

Among various TMO, HfO2 is the effective candidate for the RRAM applications due to its valuable properties [60]. Using HfO2 alone or along with other metal oxide layers (bilayer, trilayer), structures are modified to improve the device efficiency. Recently, the Pt/Ti/HfO2/TiO2/TiN, TiN/Ti/HfO2/Al2O3/TiN, and Pt/TiO2/HfO2/TiO2 trilayer structure materials are provided with better device performance [61,62,63].

3.2 Electrode selection

Various electrode materials are used in HfO2-based switching materials. Table 2 focuses on the important electrodes involved in the recent literature. From that, mostly and Pt are the imperative metal electrodes. In some devices, only the electron injection process is occurring. The reason is, such devices have an oxygen reactive electrode with less work function value. In the case of non-reactive electrodes with increased work function value devices, both electron injection and (or) electron de-trapping processes occur shown in Fig. 7. This latter principle creates oxygen interstitials and oxygen molecules, which affects the device’s uniformity.

Table 2 Summary of HfO2-based switching RRAM device physical and electrical parameters
Fig. 7
figure 7

Electron injection and (or) electron de-trapping processes in a non-active and active electrode

B. Traoreet al. experimented and concluded that the TiN/Ti sample has less forming voltage (Vf) in comparison with Pt/Pt. Also, the TiN/Ti sample has a greater current value at the initial stage [64]. Y. Hou et al. fabricated the TiN/HfO2/Pt resistive switching (RS) devices with TiN top electrodes in a range of Ar: N2 ambient conditions. The electrical parameters are more effective on the ambient Ar: N2 ratio. They concluded by the above process that the crystal orientation can be changed to (200) orientation. In this orientation, the oxygen reservoir capability is high. It leads to high switching stability compared to (111) crystal orientation [65].

Boubacar Traore demonstrated three different electrode combinations of Pt/Pt, Pt/Ti, and TiN/Ti along with the HfO2 switching layer. They observed oxide reactive electrodes have better thermal and switching stability due to the fewer amounts of oxygen interstitials about a CF region. In non-reactive electrodes, a huge amount of oxygen interstitials presents near the CF that leads to reduced device variability due to the rapid reset process [66].

Also, the effect of the Ti top electrode is verified and shown in Fig. 8. Basic switching parameters are analyzed; it confirmed Ti top electrodes are an improved performance like low forming, set and reset voltage compared with platinum as a top electrode. That can be justified, though the three electrodes have the same physical dimension and fabrication process, in Ti electrode sub-stoichiometric area formed at the Ti/HfO2 boundary caused by the action of the over Ti metal with oxygen during the device manufacture [67, 68]. Moreover, Po-Hsun Chen et al. used indium-tin-oxide (ITO) as the top metal electrode in HfO2 RRAM cells. It was reported that ITO provides a valuable performance of high speed (50 ns) and endurance (107 cycles). The reason is, compared to a metal electrode (Pt), the ITO electrode permits self-limiting current flow; meanwhile, the forming and set operation, remarkably, no need to use CC limit and it is appropriate for low power consumption applications [69]. J. Muñoz-Gorriz et al. observed the large memory window when Ni is used as a top electrode compared to the Cu electrode [61].C. Vallée et al. [70] investigated the effect of a bottom electrode when using TiN and Pt. Their team concluded that better results were obtained for Pt as a bottom electrode instead of TiN.

Fig. 8
figure 8

Schematic diagram of switching operation for different CC values [36]

Recently, Zhihua Yong et al. analyzed the TiN bottom electrode fabrication processes. ALD fabrication technique TiN-fabricated HfO2 device yields a reduced forming and switching voltage compared to PVD fabrication techniques [71]. Shih-Kai Lin proved high thermal conductivity electrode Ti gives more oxygen ions. This effect contributes to a complete reset process; it modulated the switching layer thickness gives a fine RS operation [72]. Jianxun Sun, Juan Boon Tan, and Tupei Chen et al. shown three different top electrode combinations are analyzed. The author confirmed that high thermal stability TiN/Ti/TiN electrode structure provides uniform switching by the combined action of TiN (oxygen diffusion barrier during post-metal annealing (PMA), Ti (oxygen exchange layer), and TiN (capping layer to prevent the oxidation of middle Ti layer)[73]. Qiang Wang et al. demonstrated the HfO2-based RRAM with improved reliability and power consumption. They experimented with the device with the O3 pre-treatment process on the BE TiN. It leads to the two interfacial layers of TiON and TiO2 [74]. Zhihua Yong et al. compared the device performance of atomic layer deposition (ALD) processed TiN BE memory cell with sputtered TiN BE. It brings about high switching uniformity with low operating voltages is invented in ALD TiN cells [75].

3.3 Fabrication

Mostly, HfO2-based switching layers are fabricated using ALD or a sputtering deposition method. Also, the metal layers are fabricated by any physical vapor deposition. Recently, ALD process is dominated in the fabrication of HfO2-based RRAM. Wei Zhang et al. fabricated [55] HfO2/TiO2/HfO2 trilayer structure by ALD using precursor vapor with an effective accuracy thickness control method, and achieved wide-area uniformity, with brilliant three-dimensional conformity, for a layer deposition in a nanoscale [72]. T. Ting-Ting et al., T. Bertaud et al., and T. Nagata et al. used different types of fabrication techniques such as reactive molecular beam epitaxial, sputtering, introducing reactive metal interlayer, and pulsed laser deposition. Still, the optimized fabrication technology to produce a sharp control of oxygen-deficiency profile with a uniform deposition rate is missing [76,77,78]. To solve these problems, R.W. Johnson et al. and H.kim et al. implemented ALD for RRAM fabrication due to its distinctive advantages, specifically self-limiting reaction deposition method, extraordinary conformity on high-aspect-ratio structures, composition, and thickness control at the nanoscale [79, 80].

This research gap accelerated many researchers to work on the ALD process by changing the different conditions like changing the precursor coverage time, varying oxidizer gas type or using an inert gas, controlling the temperature value, and using plasma as a source for metal oxide deposition [81,82,83,84]. Recently, Andrey Sergeevich Sokolov et al. investigated the influence of Vo profile on the HfO2-x thin film which is deposited by ALD in the influence of Vo profile, at different precursor times (0.7 to 0.1 s). All, basic RS, I-V characteristics, various electron transport physics, and reliability are analyzed in Ti/HfO2-x/Pt device. Their team suggested, by the modulated ALD vacancy profile, the memory cell power consumption is reduced [85]. Xiangxiang Ding proves controlling the partial pressure of the TiO2 layer during the sputtering process, and an oxygen vacancy is controlled. High oxygen vacancy leads to quick soft breakdown and high current and high power consumption. Results proved that, with the appropriate partial pressure, it avoids the soft breakdown throughout the entire switching layer that restricts the power consumption [86].

4 Electric characteristics

The device’s operating voltages, current, and power are an elemental electric performance metric for a metal oxide RRAM cell. Most of the RRAM need a one-time electroforming operation for set/reset operations until a CF has been formed. The magnitude of the forming voltage leads to a countable effect in a memory cell. Larger forming voltages force the device to more power consumption per cell, reducing this value as much as possible, without affecting other RRAM performance for low power applications.

4.1 Forming voltage vs compliance current

Forming voltage (Vf) affects or is affected by compliance current, metal oxide layer dimensions, HRS, LRS, Vset, Vreset, Ireset, power consumption, random telegraph noise (RTN), temperature, endurance, and retention [36]. Metal oxide RRAM demands a one-time electroforming operation for a successful switching operation. Mostly, the Vf value is higher than the device set and reset voltage values. Vf value is affecting, depending on the device area and electrodes [26, 87,88,89,90]. Jingwei Zhanga exposed the RRAM performance for an ITO/HfO2/TiN structured cell. The ITO is fabricated with an appropriate ratio of In2O3:SnO2 = 9:1. It has more active Sn4+ ions that lead to change its valence states comfortably. ITO produces a high stability interface layer in an active electrode metal and oxide interface. This interlayer creation gives rise to excellent reduced switching voltages and reliability in addition to the flexible property [87].

Constantly noted is that, when the device area increasing Vf value decreases, it is a major challenge for device scaling. This scaling threat can be beaten by using local enhancement techniques which is helpful to perform a forming operation in a localized area [91] and by introducing high-permittivity (high k) material as the side-wall spacer structure [15]. The researchers analyzed and confirmed that increased device physical dimension leads to forming voltage reduction. But, as switching layer thickness is increased, Vf also increased [92]. Figure 8 depicts the variation of the CF dimension vs CC. Recently, trilayer annealed Pt/TiO2/HfO2/TiO2/Pt device [63] shows a reduced forming voltage with enhanced reliability for a 15 mA CC value.

4.2 Device dimension vs forming

More researchers have analyzed the device scaling versus forming voltage value. Chen [93] modeled the Vf value for various device dimensions; the expression for forming voltage of area dependence is given by,

$$Vf = C1-C2 \mathrm{ln} (A/{a}^{3})$$
(6)
$$C1= (a/k) \mathrm{ln} (1-Pf) + (t/k) ln (1/{R}_{0}) ; C2=a/k$$
(7)

where a3—the volume of one cubic cell which is the smallest part of a device, Pf—probability of forming, A—the area of a device, t—thickness of the device, \({R}_{0}\), k can be assumed from the following resistive transition rate (R) equation that is given by (when applying field is “E”)

$$R = R0 {e}^{kE}$$
(8)

Figure 9 shows the experimental data that were fitted in the Chen model.

Fig. 9
figure 9

Chen area scale model (data fitted)

From Fig. 9, when we look for device scaling, Vf is increasing. Though from many experimental data, if we plot the graph Vf versus oxide layer thickness (HfOx thickness), the results are reversed. Reducing the HfOx thickness to less than 3 nm almost forming-free devices can be obtained.

Eduardo P’erez et al. analyzed the forming voltage distribution for three different metal oxide SL (polycrystalline HfO2, amorphous HfO2, and aluminum-doped HfO2) at the wide temperature range of − 40 to 150 °C. A new statistical approach phase-type distribution (PHD) is implemented rather than a conventional Weibull distribution (WD). PHD gives more information of intermediate probabilistic states presented in the primary electroforming operation as per the subsequent equation [94]

$$F\left(V\right) =1-\alpha \mathrm{exp}\left(TV\right)e$$
(9)

α—(α1, αm) is a vector components, T = (qij)i,j = 1…m is a matrix of the transient stage i to j, e is a column vector order, and V—voltage.

G. Vinuesa et al. improved the device current conductance linearity into 98.4%. The random nature of conduction is controlled by a cap layer of Ti added in between electrodes and SL. This Ti gives the non-stoichiometric switching action [95].

4.3 Forming-free devices

For commercial memory applications, producing a low power consumption RRAM device is the mandate requirement. As discussed above, the forming operation has taken high-voltage values, which affects the memory cells due to the high electric stress. Anyway, the switching characteristics of the devices typically follow the initial forming process. A. Kalantarian et al. implemented constant voltage stress-forming procedure. Y.-S. Chen et al. established thin HfOx forming-free devices [96]. Still the deeper perceptive is missing.

4.4 Switching uniformity

The critical mission in RRAM device characteristics is maintaining switching uniformity in intra and inter devices. Researchers are focusing on the improvement of RRAM device switching uniformity, suggested and experimented with various techniques like modifying top electrode [97,98,99], implanting metal interlayer [100], applying optimized computer-programmed pulses [101, 102], and introducing appropriate dopants [103, 104].

4.4.1 Effect of doping for stable switching

Doping certain metal elements in a metal oxide yields significant effects in the metal oxide RRAM. Since in RRAM, the entire operation is dominated by its CF creation and rupture of CF. With the controlled development of CF, the device switching uniformity can be improved. B. Gao et al. projected that when the trivalent metal is doped in tetravalent metal oxide like HfO2 and ZrO2, the formation energy of Vo is reduced. Because of the low formation energy of Vo, CF is formed easily together with dopant atoms which gives high RS uniformity [105]. Tingting Tan et al. fabricated a new device structure Cu/HfO2:Au/Pt, concluded that the Au doping opposes the random nature of CF formation inside the switching layer, which leads to an improved uniformity, high memory window, and low Vset value. The major reason for this improvement is the formation of an Au-O bond inside the switching layer [106].

Mingyi Rao et al. realized that the Zn-doped HfO2 RRAM devices show evidence of considerably improved memory performance in terms of its operating voltage and switching uniformity without affecting the endurance. The Zn dopant gives better control over the CF formation which leads the enhanced uniformity [107]. Bai Sun et al. observed high uniformity in an Al-doped HfOx sample due to the control of Vo by  aluminium doping and the high retention value is observed in all samples [108]. Y.C. Yang et al.’s and Q. Liu et al.’s team proved that introducing low valence ions in the film can successfully develop the RS uniformity [109, 110]. Moreover, researchers found that, when nitrogen is doped, all switching and reliability RRAM metrics are improved. Jinfu Lin, Shulong Wang, and Hongxia Liu explored the aluminum-doped HfO2 SL device structure for multilevel switching operation. Analysis shows that compliance current modulated the switching voltages. This initiates the RRAM device in neuromorphic applications with high uniformity [111].

4.4.2 Stack layer

Using multilayer structure is one of the main techniques which is used to reduce the non-uniformity in the RRAM device [112,113,114,115,116,117,118]. The researchers constructed and analyzed the multilayer structure for switching improvement. They reported a multilayer (stack layer) has better switching and reliability characteristics compared to a single layer device [119,120,121,122,123,124,125,126].

H.Y. Lee, L. Chen, and S. Yu et al. suggested the double-layer structure yields better switching uniformity and reduced switching power due to the stable CF creation and rupture [127,128,129]. Continuously, Cheng C.H and Terai M et al. confirmed the switching improvement in a bilayer device structure [114, 115]. Lai-Guo Wang et al. determined the trilayer-structure oxide-based RRAM devices displayed, suppress the switching value distribution. The cells with a SL structure of Al2O3/HfO2/Al2O3 displayed excellent uniformity of set and reset voltages and excellent endurance of switching between the LRS and HRS [130]. Wang LG et al. and H. Lv et al. analyzed the device non-uniformity problem; they concluded that by ion doping or using different material stacks layer, the problem can be solved [131, 132].

Z. Fang et al. reported forming-free RRAM cells with HfOx/TiOx stack layer structure, and both intra-cell and inter-cell uniformity are improved significantly. Compressed set/reset voltage distribution is achieved in that structure. It is justified that, by appropriate Ti doping level and a controlled CF growth mechanism, it leads to the successful uniformity device [133]. Po-Tsun Liu et al. ensured that the multilayer device with Ti/AZTO/HfO2/Pt structure has high uniformity than a single layer Ti/AZTO/Pt structure. Due to the localized formation of CF ahead of switching uniformity, switching speed (500 ns), endurance (107 cycles), and retention (104 s) are attributed [134]. Xueyao Huang et al. proposed a unique device structure TiN/TaOx/HfO2/TiN to raise the switching uniformity and retention [135]. Xu Zheng et al. tested the fabricated bilayer (HfO2/TaOx) RRAM device in a harsh radiation environment. They concluded that after radiation dose, the switching characteristics are not altered. The switching voltage is reduced due to the radiation annealing effect. Moreover, cell uniformity is maintained with a decent value [136].

4.4.3 Other parameters

Maintaining the device uniformity can be done in various ways of ion doping and stack layer. Other technologies were also involved and implemented. Y. Hou et al. demonstrated by an optimized crystal orientation of the top electrode, the oxygen storage capacity is increased; by that high uniform device performance is attributed [65]. Recently, Kai-Chi Chuang et al. analyzed a memory cell structure TiN/Ti/HfOx/TiN with a fixed enhanced elevated film stack (EFS) novelty structure for a high device-to-device switching uniformity [137] shown in Fig. 10. Moreover, Yichen Fang et al. experimented by inserting a TiN buffer layer, RS stability, and forming voltage is improved [138].

Fig. 10
figure 10

Electric field distribution in elevated film stack (EFS) structure

Plasma treatment is an attractive technique to enhance uniformity. Bonchoel Ku et al. demonstrated the Ti/HfO2/Pt/Ti/SiO2 device, and after the switching layer (HfO2) fabrication, HfO2 is subjected to 3 min of Ar plasma treatment. More Vo created in a switching layer, react with Ti produced the TiO2 interlayer. CF is controlled by that interlayer, and uniformity is improved [139]. Figure 11 explains the CF control action in the Ar plasma–treated and plasma non-treated process.

Fig. 11
figure 11

(a,b) Non-Ar plasma and (c, d) Ar plasma–treated device

Producing the local electric field in the SL is an attractive technique to direct the Vo in a controlled manner. Ye Tao et al. constructed a unique structure (Au/HfOx/MSGC/Pt) with better switching reliability. Mountain-like surface-graphited carbon (MSGC) film is deposited in between SL and BE. The local electric field (LEF) is enhanced as shown in Fig. 12. The creation of random CF formation eliminated also the CF formation and rupture can be controlled easily by that switching uniformity is improved [140].

Fig. 12
figure 12

LEF and controlled CF using MSGC structure. (a) Forming. (b) Set. (c) Reset

Also to steady the switching operation and reliability, recently RRAM structure is modified by using sidewall spacer with a high dielectric material instead of a conventional planar structure. Mei Yuvan et al. implemented the sidewall spacer. They introduced a Ta2O5 layer as shown in Fig. 13. Results are compared with absences of sidewall structure. Concluded this new structure is confined the electric field for the controlled CF formation and break operation [141].

Fig. 13
figure 13

Schematic diagram of high K space RRAM device

Recently, Meng Qi et al. also fabricated an Ar surface plasma–treated (SPT) Au/HfO2-x/Pt/Ti/SiO2 device. They compared the morphological and electrical characteristics of SPT and normal devices. After SPT, the roughness of the switching layer and Vo is increased with a reasonable value. It leads to reduction of forming voltage and avoids the random creation of CF. Furthermore, when the TE is fabricated with tips, LEF directs the CF in a fine-controlled path for high uniformity [142]. Table 2 shows the summary of switching uniformity with an HfO2 material device structure. Still, the switching uniformity in an HfO2 RRAM is a bottleneck. Wide research and implementation need by combining various switching uniformity improvement technologies, without affecting other RRAM performance.

5 Reliability

Productive high reliable RRAM performance is the major constraint. Researchers are working to find highly reliable devices by concentrating on the endurance and retention of the device. Both properties are depending on the CF formation and rupture principles. In the literature, to improve the HfO2 material–based reliability, in addition to the experimental procedure, various modeling and simulation techniques are discussed. Debashis Panda et al. reviewed different RRAM models [143].

5.1 Endurance

Jeonghwan Song et al. made the improvement in both retention and endurance characteristics in the HfOX-based RRAM devices by using the high-pressure hydrogen annealing (HPHA) [144]. According to the HPHA technique, before the top layer deposition, the sample is subjected to high-pressure hydrogen annealing process to amplify the number of Vo inside the switching device. Fang-Yuan Yuan et al. demonstrated the novel idea to increase endurance by applying a nitridation technique. After the switching layer fabrication, the sample is kept inside the solution with urea/ammonia and heated at 160 °C for 30 min. After that, the top electrode is deposited, following the nitridation process, and the endurance increased by 109. Also, the retention time is improved by 104 s at 85 °C. This improvement is explained by, after the nitridation, the current conduction mechanism in the LRS is changed from Ohmic to space charge limited current (SCLC) principle [57]. A similar type of endurance improvement is observed by Tsung-Ming Tsai et al. in their proposed structure as a new boron nitride (BN) layer is inserted [145]. The Pt/BN:SiO2/HfO/BN:SiO2/TiN structure showed high switching endurance 1012 cycles with higher stability. This can be explained by the forming process is controlled in a sharp aspect during the redox reaction. In the stack layers, numbers of IL are produced. Creation and rupture of CF in between switching metal oxides and IL layers are quite easy and controllable. So, the memory cells with a stacked structure like Al2O3/HfO2/Al2O3 [130], Pt/HfO2/TiO2/HfO2/Pt [55], TiN/HfO2/Al2O3/TiOx/IrOx [146], TiN/TiOx/HfOx/TiN [147], and Ti/HfO2/O2-HfO2/TiN [21] demonstrated better uniformity of set and reset voltages and admirable endurance cycles between the LRS and HRS.

In another study, M. Azzaz et al. reported the Vo formation energy is the major endurance improvement. According to their report, high formation energy leads to high endurance and vice versa. It can be observed in HfOx materials. Higher formation energy leads to thermodynamically encourage HRS. Also, demanded in TiN/Ta2O5/Ti/TiN structure, Ta2O5 has less formation energy, so the vacancies are more stable in CF. It creates high retention and low endurance [148]. Umesh Chand investigated the influence of the plasma oxidation process on endurance improvement. They optimized their device structure with a Ti/HfO2 (1 nm)/O2-HfO2 (9 nm)/TiN material and dimension. Due to the plasma oxidation (10-min process during the ALD), the oxygen ion concentration is high in a switching layer. Also due to the 1 nm HfO2 layer, the O2 ions absorbed by the top electrode were avoided. RS layer provides continuous O2 ions to the device. The endurance degrade problem is reduced and the endurance value is enhanced by 1010 cycle [21]. Xiang ding et al. improved the reliability by adding silicon as an interfacial layer in between the top electrode and SL. Silicon functions as an oxygen scavenger layer. Also, current overshoot is scaled down [149]. Yulin Liu et al. investigated the effects of SL thickness and temperature impact on the endurance performance in a Pt/HfO2/Al2O3/TiN RRAM cell. Results assured when the HfO2/Al2O3 thickness is 7 nm/3 nm, 107 cycle endurance attributed with a recognized switching voltage values over a temperature range of 80 °C. More than 80 °C, Vset, Vreset, and Vf are unstable [150].

5.2 Retention

Retention (the capability to store the data for prolonged periods of time in a definite temperature) is an important metric of RRAM measures. Researchers explored and found the track in HRS and LRS retention improvement. To increase the LRS data retention, post-fabrication annealing at 400 °C was proposed to grow the oxygen content in the metal capping layer, also reported creating an interfacial layer between the metal capping layer and the oxide layer that moderates the mobility of the oxygen vacancies. The retention characteristics are reported as a function of baking temperature and aluminum doping concentration for times up to 106 s. Disclosed that no considerable changes in LRS, but the noticeable changes are inspected in HRS [151].

Xueyao Huang et al. demonstrated the structure TiN/TaOx/HfO2/TiN had brilliant resistance uniformity, endurance, and data retention. Experiments are done with different thicknesses of HfO2 layers. Finally, concluded that 8 nm HfO2 thicknesses specify that an optimal thickness exists which gives a good trade-off between forming voltage and data retention [135]. Naga Sruti Avasarala et al. offered a vertical carbon nanotube (VCNT) as a bottom electrode instead of a single layer BE. In their work, they concluded that the switching occurs at the CNT-HfO2 interface, with a low mobility defect leading to a highly stable defect arrangement that yields the high-temperature retention (> 1300 h @200 °C) [151]. Muhammad Ismail et al. revealed that a high thermal conductivity and lower Gibbs free energy layer of ZrO2 help for the easy reduction and oxidation and increase the oxygen vacancy generation. It directs the better RS and retention performance [59]. Muhammad Ismail et al. explained the addition of SiO2(2 nm) layer in between the high oxygen affinity value of TaN electrode and HfO2 SL, SiO2 acts as an oxygen reservoir, and it leads to high memory window with a good RRAM switching parameters and high reliability [152]. The trade-off between device structure and reliability is shown in Table 2. From that, simultaneously improving endurance cycle and retention is the challenging work in an RRAM. Still, more researchers are working in the field to give high switching stability with high device variability.

Zhen zhong Zhang, sulphur-doped HfOx switching layer at 500° C is fabricated. Results show that doping induces more oxygen vacancy in the switching layer. It contributed low power consumption (Pset = 9.08 nJ, Preset = 6.72 nJ) and high switching uniformity, stable endurance, good retention, and high speed (Tset = 6.25 µs, Treset = 7.50 µs) [154]. Yankun Wang et al. researched the united effects of increased oxygen vacancy and the interface formation in yttrium-doped HfO2 films, accomplish a uniform switching operation [155].

6 Summary and conclusions

Handling huge data and smart devices are in need of high-density nanoscaled non-volatile memory (NVM) with low operating power, monolithic integration, and faster read and write times. Among varied leading upcoming NVM, RRAM is an encouraging technique for forthcoming memory applications due to its high competence, high speed, low power consumption characteristics, and an uncomplicated MIM structure. Predominantly, due to the simple fabrication process, the binary transition metal oxides have largely analyzed for RRAM applications. In specific, HfO2-based filamentary type RRAM is one of the most descriptive materials due to its outstanding memory performances and CMOS development compatibility. The review starts with the basic principle of RRAM operation, classification of switching mechanism followed by a physical mechanism of resistive switching in HfO2-based materials. Consequently, the important parameters on RRAM performance including materials selection, device structure fabrication, and forming process have been discussed with their benefits and weaknesses. Finally, this review converges the challenges and possible solutions in HfO2-based RRAM device for high switching stability and reliability.

Among many electrodes, Pt, TiN, Ni, Cu, and Al are the appropriate metal electrodes for a better RS switching performance and stability with HfO2 SL.  In a fabrication technologies, ALD and sputtering techniques are suitable for highly reliable applications. For low power applications, less forming voltage devices or forming-free devices can be utilized. By optimizing increased device area, reduced SL thickness (Vset and Vreset also reduced), optimized CC value, using polycrystalline structure, increasing the temperature in the forming process, and high rise time of the forming pulse, the Vf value is reduced. The bottleneck of the RRAM device uniformity is improved by using stack layer structures, suitable ion doping, changing the top electrode, inserting metal interlayer, controlling the ALD chamber temperature, and a chemical mechanical planarisation (CMP) process. In reliability concerns, the trade-off between endurance and retention is achieved in various ways. Especially, by nitridation, inserting BN layer, controlling Vstop and tp, applying HPHA fabrication technique, optimal thickness of SL, controlling the CC, concentrating the activation energy of SL, sharp control over the CF size, CF temperature, creating IL in between SL and electrodes and oxygen plasma treatment techniques, post-fabrication annealing process, focusing doping concentration and CNT as an electrode. In the future, for a successful commercial RRAM product, more optimized techniques are needed to improve the switching and reliability parameters. Maintaining the better trade-off between switching stability and device variability directs to implement the RRAM device in an internet of things (IoT) application.