1.1 Project Introduction

Nanomanufacturing, as a basis to support the application of nanotechnology, information technology and biotechnology, mainly researches new methods and processes of nanomanufacturing such as nanostructure growth, processing, modification and assembly, as well as the performance evolution law of structures and devices in the nanoscale manufacturing process. In the past 10 years, the National Natural Science Foundation of China (NSFC) has maintained considerable support for research in the field of nanomanufacturing. In order to further enhance the source innovation capability of nanomanufacturing in China, aim at the frontier of discipline development and meet the major strategic needs of national development, the NSFC officially launched the Major Research Plan “Fundamental Research on Nanomanufacturing” in 2010 [1], aiming at the fundamental scientific problems in nanoprecision manufacturing, nanoscale manufacturing and cross-scale manufacturing. By strengthening top-level design, condensing scientific objectives, promoting interdisciplinary research and cultivating innovative talents, we have scored leapfrog development in several key areas or important directions, and that is how we improve the innovation ability of fundamental research in China, and provide scientific support for national economic and social development.

This Major Research Plan follows the overall idea of “limited goal, stable support, integrated sublimation and leapfrog development”, focuses on the major strategic needs of national economy, social development and scientific frontier, grasps the basic, forward-looking and cross-cutting research characteristics of this plan, and combines the top-level design guidance of experts with the free application of scientific and technical personnel to gather superior strength so as to form a project group with relatively unified objectives or directions. Over the past 8 years, with the concerted efforts of relevant researchers in China, this Major Research Plan has fully utilized the advantages of interdisciplinary cooperation in machinery, materials, physics, chemistry, life, information and mechanics, and expanded the physical connotation and technical extension of various processing principles after the manufacturing object enters the micro from the macro, which has successfully completed the initially set goal, greatly broadened the scale range of manufacturing technology, opened up new research fields, greatly improved the manufacturing accuracy and quality, and developed new manufacturing theories and methods. This Major Research Plan has played a positive role in promoting interdisciplinary research, promoting deeper and more perfect research in manufacturing science, and giving full play to the supporting role in the trend of transforming new discoveries in nanoscience into cutting-edge manufacturing technologies.

1.1.1 Overall Scientific Objectives

As a Major Research Plan in the field of engineering and materials science, the “Fundamental Research of Nanomanufacturing” aims to explore new principles and methods of nanomanufacturing based on physical/chemical effects through interdisciplinary research, and reveal surface/interface effects and scale effects in processing, forming, modification and cross-scale manufacturing with nanoscale and nanoscale precision. Meanwhile in the “Fundamental Research on Nanomanufacturing”, the evolution mechanism of material structure is clarified, the accurate characterization and measurement method of nanomanufacturing process is established, and some original nanomanufacturing processes and equipment are developed, which provides a theoretical basis for realizing the consistency and batch of nanomanufacturing. Therefore, this Major Research Plan focuses on the following research directions and has made a series of research breakthroughs [2, 3].

We have made a lot of research achievements in the principle and method of sub-nanometer precision surface manufacturing. Based on the interaction mechanism between atoms/molecules of matter and energy beam, the law of atomic migration and material removal processing at the atomic scale is revealed; the new manufacturing principle, method and process route are established, which provides theoretical support and technical approach for integrated circuit (IC) manufacturing with linewidth below 14 nm.

We have done a lot of fruitful work in accurate replication manufacturing of large-area micro-nano structures. Based on the physical/chemical interaction mechanism induced by external field in micro-nano gap, the material rheology and removal law in the process of micro-nano structure generation is revealed, and new manufacturing principles, methods and process routes are established to realize efficient preparation of large-area micro-nano structures such as flexible electronics devices, new sensors and micro-optical array elements.

We have done a lot of fruitful work in the research on nanomanufacturing methodology of combining “top-down” and “bottom-up”. Combining “top-down” and “bottom-up” processing methods, the new nanoscale manufacturing principle, method and process route are established to solve the manufacturability problem of nanodevices and system structures.

And a new method of ultra-fast laser micro-nano fabrication based on electronic dynamic control is explored. By optimizing the temporal and spatial distribution of ultra-fast laser pulse sequences whose pulse width is shorter than the electron relaxation time, the electronic states such as electron density, temperature, energy level distribution, spin and the like of the processed material and the corresponding instantaneous local material characteristics are adjusted, so as to realize a brand-new manufacturing method with high quality, high precision and high efficiency, and apply it to the manufacturing of key devices/structures in the fields of aerospace and information.

1.1.2 Core Scientific Issues

According to the overall scientific goal of this Major Research Plan, combined with the current situation of nanomanufacturing in China and the major strategic needs in the national economy, social development and scientific frontier, the research work plan is mainly implemented around the following three core scientific issues.

  1. 1.

    New principles and methods of nanoprecision manufacturing

Nanoprecision surface finishing is one of the core issues of nanomanu- facturing, and an important research issue of this Major Research Plan. With the decrease of IC characteristic line width and the increase of wafer size, the requirements for finishing precision are increasing day by day. The 193-nm lithography objective lens requires sub-nanometer surface precision; the 300-mm wafer planarization requires 2% in-chip uniformity; the wafer thinning process requires the thickness to be reduced to less than 50 μm to avoid warping. In order to achieve these indicators, some new methods and processes must be explored, and the key problem lies in how to realize non-damage, efficient and controllable finishing of nanoprecision surface.

This Major Research Plan has carried out research on the principle and process methods of nanoprecision surface finishing. The contents include: ion beam sub-nanometer surface controllable finishing, new principles and technologies of nanoprecision surface planarization for integrated circuit manufacturing, mechanochemical nanoprecision grinding technology, and chemical–mechanical planarization theory and technology under ultra-low pressure, etc.

  1. 2.

    New principles and methods of nanoscale structure fabrication

Nanoscale processing is a means to realize the manufacture of nanostructures and devices, and it is also the cornerstone of nanotechnology. At present, there are many nanoscale processing methods such as atomic force microscope, femtosecond laser, electron beam, self-assembly, nanolithography and etching. However, such problems of these processing methods as batch, repeatability, consistency and low cost have not been well solved, and they have not transformed from processing technology to manufacturing technology, which makes it difficult for nanotechnology products to move from laboratory to industrial application. Nanoscale structure manufacturing is one of the core contents of this Major Research Plan, and its goal is to explore the theory, method, technology and application of nanoscale manufacturing on the basis of the existing principles of physics/chemistry/biology, and lay a foundation for the research and application of high-precision sensors, high-efficiency micro-energy, integrated micro-nano systems/post-Moore era electronic devices and integrated circuits.

The main research contents of nanoscale structure manufacturing include: new nanoscale manufacturing theory and method, high-precision micro-nano structure controllable manufacturing with structure self-restraint, external field induced three-dimensional manufacturing and nanostructure controllable manufacturing based on self-assembly, etc.

  1. 3.

    Principle and method of efficient and multiscale fabrication of large area nanostructures

Multiscale manufacturing is one of the research focuses of this Major Research Plan. With the increasing demand for flexible and intelligent electronic devices such as flexible displays, flexible thin-film solar cells and flexible sensors, breakthroughs in large-area nanostructure manufacturing technology are urgently required. Due to the scale effect of nano, micro and macro interconnections, it is difficult to accurately control the device performance, and it is full of challenges to realize large-area, high-efficiency, high-precision and low-cost manufacturing of nanostructures.

This Major Research Plan focuses on the bottleneck problems of multiscale manufacturing. The main contents include: multiscale manufacturing based on special effects in microelectronic processing technology, theory and method of new and effective molding manufacturing for high-scale manufacturing of large- area micro-nano structures, integrated manufacturing and application of multiscale micro-nano structures, etc.

1.2 Project Layout

1.2.1 Project Deployment

During the 8 years starting from the implementation of the Major Research Plan of “Fundamental Research on Nanomanufacturing”, the total funds are about 190 million yuan, developing 4 integrated projects (55 million yuan, accounting for 29.01%), 24 key projects (62.4 million yuan, accounting for 32.91%), 121 cultivation projects (62.31 million yuan, accounting for 32.87%) and 4 strategic research projects (9.8788 million yuan, accounting for 5.21%), as shown in Fig. 1.1 [4, 5]. The research content covers four research fields: nanoprecision manufacturing, nanostructure manufacturing, multiscale manufacturing and exploration of new methods of micro-nanomanufacturing, forming four project groups, namely, new principles and methods of nanoprecision manufacturing, high-efficiency manufacturing methods of large-area micro-nano structures, new principles of nanomanufacturing combining “top-down” and “bottom-up” and exploration of new principles and methods of laser micro-nanomanufacturing [5].

Fig. 1.1
A 3 D pie chart for the estimated funds to develop 4 projects. It includes cultivation projects, key projects, integrated projects, and strategic research projects. The cultivation projects require highest funds and strategic research projects require lowest funds.

Project deployment

1.2.2 Project Integration and Sublimation

This Major Research Plan has made positive progress in all directions supported, especially in new methods such as nanoprecision surface finishing, high-efficiency manufacturing of large-area micro-nano structures, nanomanufacturing combining “top-down” and “bottom-up”, and ultra-fast laser micro-nanomanufacturing based on electronic dynamic control. Combined with the objectives of this Major Research Plan, the progress made and the major demand of the country, the plan condensed and planned 4 integrated projects in the implementation process.

  1. 1.

    Principle and method of sub-nanometer precision surface manufacturing

In sub-nanometer precision surface manufacturing, the interaction mechanism between material atoms, molecules and energy beams is discovered, the law of atomic migration and material removal at the atomic scale is explored, and the manufacturing principles, methods and process routes such as precision optical device processing and IC wafer polishing are established. Combining machinery, chemistry, materials and mechanics, the molecular/atomic material removal mechanism is explored, and the principle and methods of nanoprecision surface manufacturing are established. Through the research of this integrated project, key scientific problems can be broken through, systematic process methods can be formed, equipment technical bottlenecks can be broken through, and the technology of nanoprecision manufacturing in China can be improved.

  1. 2.

    Accurate replication manufacture of large area micro-nano structures

Based on the physical/chemical interaction mechanism induced by external field in the micro-nano gap, the material rheology and removal law in the formation process of the micro-nano structure is revealed, and new manufacturing principles, methods and process routes are established to develop efficient preparation of large-area micro-nano structures such as flexible electronics devices, new sensors and micro-optical array elements. Facing several major strategic demands of China (such as precision metrological grating, special-shaped physical grating in ignition plan, ultra-high efficiency nanooptoelectronic components), the research on precision template manufacturing method, multi-field induced replication method, error transfer theory and precision traceability method is integrated to develop nanoscale accuracy and efficient replication manufacturing principle. This plan reveals the evolution law of structure and physical properties induced by external field, discovers a new principle of nanostructure replication manufacturing, and develops the error transfer control of replication forming and the traceability theory of precision measurement.

  1. 3.

    Research on nanomanufacturing method combining “top-down” and “bottom-up”

Based on the “top-down” and “bottom-up” processing methods, the new multiscale manufacturing principle, method and process route are established to solve the manufacturability problem of nanodevices and system structures. Integrating the new principles of self-assembly and nanoscale processing in this Major Research Plan and the original methods in micro-nano composite manufacturing and making full use of the characteristics of mature integrated manufacturing technology in microelectronics industry, the integration from nanostructure to technology and function is realized and a breakthrough from nanomaterials to nanodevices and systems is achieved. Through the research of this integrated project, a series of high-sensitivity, low-power gas and biological sensors (such as environmental monitoring and anti-terrorism) that can be applied to the internet of things are developed.

  1. 4.

    Exploration of a new method of ultra-fast laser micro-nanomanufacturing based on electronic dynamic control

By optimizing the temporal and spatial distribution of ultra-fast laser pulses whose pulse width is shorter than the electron relaxation time, the electronic states such as electron density, temperature, energy level distribution, the spin of the processed material and the corresponding instantaneous local material characteristics are controlled, thus realizing a brand-new manufacturing method with high quality, high precision and high efficiency, and being used to manufacture key devices/structures in the fields of aerospace and information. Through the research on this integrated project, the mechanism of electronic dynamic control of spatiotemporal shaping femtosecond laser pulses in material processing is detected and revealed, the key parameters of ultra-fast pulse sequence are optimized, and high-efficiency and high-quality processing is realized. The research is used to manufacture key structures/devices in major national projects/information and other fields.

1.2.3 Interdisciplinary and Integration

From the very beginning of its implementation, this Major Research Plan attaches great importance to interdisciplinarity, mainly involving machinery, materials, physics, chemistry, life, information, mechanics and other fields, as shown in Fig. 1.2. In the cross-integration of electrochemistry and manufacturing science, electrochemistry and traditional mechanical grinding technology are combined to establish a low-damage and high-precision polishing technology for functional surfaces, which is applied in the field of integrated circuit manufacturing and realizes low-stress planarization of nanoprecision surfaces and high-precision optical lens processing. In the cross-integration of mechanics and manufacturing science, the original rheological forming technology of electrically driven polymer nanostructures is established by combining fluid mechanics, electrodynamics and molding replication technology, which provides an efficient and reliable manufacturing method for complex nanostructures such as multiscale and great aspect ratio structure. In the cross-integration of chemistry, microelectronics and manufacturing science, chemical growth and physical self-assembly technology are combined with traditional micro-nanomanufacturing technologies such as lithography and etching, and self-assembly technology is developed into a nanomanufacturing method that meets the manufacturing characteristics, thus realizing the manufacturing of typical nanostructure functional devices such as high-precision sensors. In the cross-integration of laser, chemistry and manufacturing science, ultra-fast laser processing technology has been developed, laser processing theories and methods such as electronic dynamic control, two-photon polymerization and multiphoton reduction have been established, and the application potential of laser manufacturing technology in the field of nanomanufacturing has been expanded. In the cross-integration of material science, information science and manufacturing science, controllable manufacturing and processing technologies for new electronic materials such as graphene and semiconductor nanowires have been established, and prototype manufacturing of nano devices with excellent performance has been realized through macro, micro and nanoscale interconnection. This Major Research Plan not only expands the discipline interface, but also promotes the interdisciplinary integration. The results of the paper involve 28 disciplines such as materials science, physics, chemistry, engineering and optics, with an average interdisciplinary rate of 2.06%.

Fig. 1.2
A cyclic diagram demonstrates the interdisciplinarity required for manufacturing research in the field of chemistry, information science, and physics that are responsible for the forming, removing, and growing processes. It also comprises the particular fields of physical chemistry, controllable self-assembly, and so on.

Interdisciplinary situation

1.3 Research Significance

This Major Research Plan innovatively incorporates macro-scale nanoprecision research into nanomanufacturing research, focusing on batch, consistent and low- cost manufacturing technology. Through the breakthrough of principle innovation and cutting-edge technology, a group of interdisciplinary and excellent teams engaged in nanomanufacturing research have been trained and gathered, which has laid a solid foundation for the development of China’s nanomanufacturing technology and played an important role in solving the strategic tasks of China’s manufacturing and getting research results with important influence in the world.

Since its launch, this Major Research Plan has aimed at cutting-edge scientific issues and development trends in the field of nanomanufacturing, and has made great efforts to promote the cross-integration of machinery, materials, physics, chemistry, life, information, mechanics and other disciplines, achieving great innovative research results as follows [6].

Through continuous efforts, we have released the atomic-level chemical mechanical removal mechanism, established the processing method of optical full- frequency sub-nanometer precision, and formed the wafer chemical mechanical planarization equipment and lithography lens polishing equipment, which have been applied in integrated circuit production lines, breaking the foreign monopoly and providing key support for solving the “containment” problem in the chip manufacturing industry.

It is for the first time that in the world, a new principle and a new method of nanoimprint with interface charge regulation have been proposed. A series of nanoimprint equipment such as gas-electricity cooperative imprint and roll-to-roll multiscale imprint have been developed, which have been applied in major national projects, national defense and military, consumer electronics and other fields, making nanoimprint move from laboratory to engineering application.

A new principle and a new method of femtosecond laser manufacturing with electronic dynamic control have been put forward, which has realized the active control of instantaneous local electronic dynamics for the first time in the world, and laser micro-nanomanufacturing technology and equipment have been developed, which has provided support for target pellet manufacturing of major national projects.

Local selective multi-construction and mass manufacturing methods for micro structured surfaces have been developed, a relatively perfect nanomanufacturing method and process system has been established, and 17 sets/kinds of equipment have been independently developed, breaking the international monopoly in several fields and reaching the international advanced level.

In the progress of implementing of this Major Research Plan, 3,813 SCI papers were published in international journals, including 19 papers in top journals such as Nat Nanotechnol, Nat Mater, Nat Phys, and Nat Energy, and 91 highly cited papers in ESI; 935 invention patents (including 11 US/European patents) were authorized and 66 monographs were published in both Chinese and English; 6 second prizes of the National Natural Science Award, 5 second prizes of the National Technological Invention Award, 1 second prize of the National Science and Technology Progress Award, 2 Ho Leung Ho Lee Foundations, 1 National Defense Science and Technology Innovation Team Award, 21 provincial and ministerial awards, were awarded. With the support of this Major Research Plan, interdisciplinary development have been realized, and a group of outstanding scientists with international standards have been cultivated in the field of nanomanufacturing. In total 6 members of the expert steering committee or project undertakers were elected academicians of the Chinese Academy of Sciences and 2 members of the Chinese Academy of Engineering. Among the project undertakers, 4 members were selected as members of the American Society of Mechanical Engineers (ASME) and the Institute of Electrical and Electronic Engineers (IEEE), 18 members were supported by the National Science Fund for Distinguished Young Scholars, and 6 members were awarded the Science Fund for Outstanding Young Scholars [6].

The implementation of this Major Research Plan has greatly improved China’s position in the international nanomanufacturing field and realized China’s leap-forward development from tracking to becoming one of the world’s advanced ranks.

The comparison of the development trend in the field after the completion of this Major Research Plan can be seen in Table 1.1.

Table 1.1 Comparison of development trends