Take a piece of wax between your fingers and imprint your fingerprints into it from both sides. The pressure produced is sufficiently high to replicate the soft surface pattern of your skin into the wax by mechanical deformation. The process is facilitated by the heat resulting from your blood circulation, which softens the wax in order to make it deform until it conforms to the three-dimensional (GlossaryTerm

3-D

) pattern of your skin. The pattern resolution of below 1 mm is similar to that of the first records fabricated over 100 yr ago in celluloid. In 1887 Berliner applied for a patent on a so-called gramophone, which resembles Edison's phonograph with its wax-coated roll [5.1]. The information is inscribed into wax coated onto a zinc disk. The tracks are cut through the wax down to the solid zinc and are etched before using the zinc disk as a mold to press thermoplastic foils. With a playing time of little more than 1 min, those disks had track widths below 1 mm and resolution in the sub-100 μm range. Over the years the track size was reduced to below 200 μm. The materials changed from shellac to vinyl filled with carbon black. Today's digital versatile discs (DVDs) have pit sizes of below 400 nm and are fabricated in polycarbonate (PC) in a few seconds by injection molding. Disc formats such as blu-ray (BD) with further reduced pit sizes of 100 nm are the current state of the art [5.2, 5.3].

In this introduction some basic concepts of molding polymers are illustrated, ranging from the shaping of thin films by mechanical pressure to patterning of curable liquid resins by capillary action. The process is closely linked to the choice of stamps, molding materials, and needs to take into account the type of pattern transfer after molding is completed. A softened material can be deformed by pressure and the difference in mechanical properties makes it possible to replicate its surface pattern in a parallel, reproducible way. The squeezing of a thin film of wax leads to a lateral flow of material, but because of the high viscosity the process will slow down quickly and a residual layer, which cannot be thinned down to zero, will remain. Furthermore, the softness of the stamp and the viscosity of the material will determine the completeness of molding and thus the replication fidelity. Similar concepts of molding processes can be observed in daily life, such as imprinting a footprint into snow or clay, or making waffles by casting a liquid dough between two patterned iron plates with subsequent thermocuring, or by replicating a seal into wax (Fig. 5.1). One common important prerequisite of these molding processes is that the mechanical properties of the molded material can be changed by pressure, temperature or chemical processing. The material must be shaped in a viscous state but should keep its form during demolding. The imprint in snow is a hard molding by local densification, while the clay hardens by the squeezing out of water. The waffle is cured due to the thermochemical changes in the dough, and the seal can be demolded with high fidelity because the heat of the wax dissipates into the seal and the wax hardens during cooling. The processes described here are very similar to molding of viscous thermoplastic materials in the nanoimprint lithography (NIL) process [5.4, 5.5], where a thickness profile in a thin polymer film is generated by pressure, however, with the surprising difference that features below 10 nm can be replicated with unprecedented precision (Fig. 5.2). In contrast to conventional methods based on exposure and development, limitations imposed by the wavelength of exposure or by chemical reactions can be overcome.

Fig. 5.1
figure 1figure 1

Printing a seal into viscous wax is a way of replication using hot embossing. The figure shows a seal (stamp), wax tube (candle), and embossed pattern

Fig. 5.2a–c
figure 2figure 2

Micrographs showing the basic steps of NIL, demonstrated by Chou and Krauss [5.4]. (a) NIL stamp in silicon with a 40 nm-period array of pillars with 40 nm height, (b) imprinted 10 nm-diameter holes in a thin polymer film (PMMA), (c) 10 nm metal dots after pattern transfer (lift-off), using the thin polymer layer as a mask

The example with the fingerprint may even serve for the illustration of ink transfer in microcontact printing (GlossaryTerm

μCP

), as one example of soft lithography (GlossaryTerm

SL

) [5.6, 5.7]. While for NIL a hard stamp would ensure a more complete molding, here the softness of the stamp is essential to make a conformal contact of every protrusion, but at the expense of a possible reduction of the feature resolution due to local deformations in the stamp.

In this chapter we provide an overview of the different processes currently called nanoimprinting, from hot embossing of thermoplastic materials to molding and curing of liquid resins. After this introduction into the basics of molding, Sect. 5.1 places the two main NIL techniques into the context of the emerging nanopatterning methods for lithography and their difference to μCP. Section 5.2 describes the nanoprint and nanoimprint processes, beginning with a discussion about soft and hard elements in mechanical patterning, polymer properties and pattern transfer and their use in thermal and UV-assisted patterning of resists. It discusses the thermal NIL process as an important subset of processes, giving an insight into squeeze flow of thin films. Section 5.3 presents essential fabrication methods for stamps and tools for NIL. It discusses current materials and tools for NIL, ranging from stamps and resists to NIL machines. Section 5.4 presents typical applications that are currently envisaged both at an industrial and at a laboratory scale. Although for many people the main driving force behind NIL is its use as next-generation lithography (GlossaryTerm

NGL

) for complementary metal-oxide-semiconductor (GlossaryTerm

CMOS

) chip fabrication, the reader will be introduced to other applications that do not have the demanding overlay requirements imposed by multilevel processes. We conclude with an outlook in Sect. 5.5, where we discuss the prospects of NIL and aspects of its commercialization. Further information can be found in the references, but not least within this handbook in the chapters about silicon micromachining (Chap. 3) and soft printing (Chap. 6). In this chapter we restrict ourselves to the lithographic patterning of thin films on hard substrates. We present basic concepts rather than state-of-the-art tools and hot scientific issues. As a complement to this chapter, the reader is advised to refer to three publications. A review on NIL [5.8] deals with a range of process issues relevant for research and industry, and a deeper insight into advanced concepts of printing. Specific NIL processes and process flows for a variety of applications are presented in the NaPa (NanoPattering) library of processes (NaPa LoP) [5.9]. After 20 yr of NIL, in which NIL has become a technology much beyond infancy, other reviews have been presented [5.10, 5.11]. Finally, there are book chapters that refer to manufacturing-related issues of NIL technologies [5.12].

1 Emerging Nanopatterning Methods

Nanoimprint Lithography (NIL) is a replication technique that has been proven to provide a resolution unmatched by many other techniques, while at the same time offering parallel and fast fabrication of micro- and nanostructures. On the one hand, this enables the technique to branch out into fields where large areas covered by nanostructures or a number of identical structures for statistical evaluation are needed. This was often impossible due to the low throughput of serial lithographic research tools. On the other hand, the resolution achieved so far by molding is still higher than that used in the industrial manufacturing of processors and memory chips with high-end photolithography (PL ). This makes NIL a promising technology for NGL. Apart from these advantages molding offers more: by creating a 3-D resist pattern by mechanical displacement of material, the patterning of a range of specific functional materials and polymers becomes possible, without loss of their chemical properties during molding. Furthermore, this ability can be used to fabricate complex structures e. g., by building up devices with embedded channels or patterning of functional materials e. g., for polymer electronics. These processes are presented in more detail in Sect. 5.2 of this chapter.

In this section we present the basic concepts of NIL and how it can conform to the requirements of state-of-the-art nanofabrication techniques. NIL uses, as do other lithographic techniques, the concept of resist patterning (which can be also found in different chapters in this Handbook). The resist patterns are generated by molding of a viscous material and hardened by cooling and curing, while in PL the resist is patterned by selective local chemical modification of a positive or negative resist by exposure and subsequent wet development. The two main NIL methods are outlined in Fig. 5.3. For lithographic applications, as needed in microelectronics and optics, NIL is in competition with other emerging patterning techniques, which are predominantly probe-based approaches (electrons, photons). Its success will mainly depend on the ability to solve processing issues such as resolution and throughput. It is also important to develop reliable tools with a long lifetime, which can be used in combination with other cleanroom process technologies, and to establish standard processes, which can be scaled up to common wafer and substrate sizes.

Fig. 5.3a,b
figure 3figure 3

Schematic of NIL process: (a) thermal NIL (hot embossing) and (b) UV-NIL. In both cases a thickness profile is generated in the thin polymer layer. After removing the residual layer, the remaining polymer can serve as a masking layer that can be used as a resist for pattern transfer

1.1 Next-Generation Lithography

With its integration into the International Technology Roadmap for Semiconductors (ITRS) on NGL in 2003 for the 32 nm node and beyond, NIL has become more than a simple high-resolution method [5.13] (Table 5.1 ). Since then it has been considered as a candidate for replacing or complementing advanced optical lithographic methods for the fabrication of processors and solid-state memory chips, which over the years have been developed and pushed to higher resolution with a vast investment of resources. Over more than 50 yr, Moore's law has described with amazing accuracy the reduction of feature sizes (and cost per transistor), and therefore serves as a roadmap for the developments needed for future microchips [5.14]. It is driven by economic considerations, and leads to a competition between different candidate manufacturing methods. These do not only have to provide the resolution of smallest feature sizes (node), but also issues such as alignment (overlay of several masking levels), critical dimensions (CD), simple mask fabrication, high throughput (mass manufacturing), and low defectivity, resulting in low cost of ownership (CoO, i. e., no dependence on large machines such as synchrotrons, back-ups and redundancy of tools and masks), which become increasingly difficult to meet if smaller exposure wavelengths have to be used. To date (2017), the semiconductor chip industry has reached 11 nm, which shows the ability of traditional techniques to advance towards smaller nodes, which in the case of 193 nm (DUV) PL was possible by immersion of the lens-resist gap with liquid and self-aligned multiple (mask) patterning techniques. NIL is no longer considered as the only candidate with the ability to reach the requirements of the next few nodes, but still has cost advantages when compared to the huge investments made for extreme ultraviolet (EUV) lithography. As a replacement or complement to existing NGL techniques, it will probably be used in combination with bottom-up techniques for resolution enhancement (mostly for stamps) or functional surfaces. However, as a 1X technique (no projection) the stamp will need to have the same feature sizes as the features to be generated on the device.

Table 5.1 ITRS roadmap showing the resolution of different lithographic patterning techniques, and practical and actual resolution limits for different lithography methods. From [5.13], revised and updated

As seen in the last years, even huge financial and apparent physical limits have been overcome in developing several techniques towards the next nodes. However, in the case of NIL there is the hope that for chip manufacturing it will be more cost-effective than other techniques. The investments are expected to be lower than for the current front runners, EUV PL or parallel electron-beam exposure. In many other applications, where the introduction of a single lithographic step of 20 cents per masking layer presents a huge investment, alternatives such as NIL are considered as the only way out of this spiral of rising investments.

1.2 Nanoprint and Nanoimprint Lithography

1.2.1 Micro-Contact Printing – Ink Transfer by Conformal Contact

NIL is a high-throughput, high-resolution parallel patterning method in which a surface pattern of a stamp is replicated in a material by mechanical contact and 3-D material displacement. Nanoprinting (known as microcontact printing (μCP)), in contrast to nano-im-printing, transfers an ink from stamp surface protrusions onto a substrate by mechanical contract. Such an ink consists of (linear) chain molecules with the ability to adhere or bind to surface atoms and often create monomolecular films which – due to their density and chemical properties – can act as resists [5.6, 5.7]. However, such films, in the case of self-assembled monolayers (GlossaryTerm

SAM

s) are only 1−2 nm thick, and present chemical contrast against specific etchants. This process has demonstrated sub-100 nm resolution and in principle can pattern much smaller structures, if lateral diffusion of densely printed molecules is inhibited. While NIL is clearly directed towards high-resolution applications, μCP is for research applications mostly in the area of biotechnology. The invention of the technology dates back to 1994 and has similarities to flexographic printing approaches.

The term soft lithography (SL) describes a quality of the mold – compliancy and often also elasticity – which is also characteristic to NIL variants such as capillary-assisted molding. SL uses a soft stamp that is able to conform to nonideal substrates i. e., to different length scales of surface height variations. This can be given by surface undulations and deviations in structure height due to roughness, local surface patterns and particles, as well as thickness variations (waviness) over the entire substrate area. Due to the compliancy of both its micro- and nanosized stamp protrusions and its backbone (typically a plate several 100 μm to some mm thick) the stamp is able to close local gaps and even seal cavities from each other. The compliancy needed for conformal printing is however also a matter of pressure and the relative softness of the stamp towards the imprinted material and substrate.

1.2.2 Molding of Thermoplastic Resists by Thermal NIL

NIL was first reported as thermoplastic molding [5.15, 5.16, 5.17], and is therefore often referred to as thermal NIL (here also named T-NIL) or hot embossing lithography (GlossaryTerm

HEL

) [5.18, 5.19, 5.5]. The unique advantage of a thermoplastic material is that the viscosity can be changed to a large extent by simply varying the temperature. Figure 5.4 shows viscosity plotted against temperature for various thermoplastic polymers i. e., poly(methyl methacrylate) (GlossaryTerm

PMMA

) with different molecular weight, and some commercial resists [5.20, 5.8]. Switching between solid and a highly viscous state is possible within a range of some tens of degrees Celsius. The first stage of the NIL process is the molding of a thin thermoplastic film using a hard master. During a process cycle the resist material is made viscous by heating and shaped by applying pressure (Fig. 5.5). Here the thermoplastic film is compressed between the stamp and substrate and the viscous polymer is forced to flow into the cavities of the mold, conforming exactly to the surface relief of the stamp. When the cavities of the stamps are filled, the polymer is cooled down, while the pressure is maintained. Thus the molten structure is frozen. After relieving the pressure, the stamp can be retrieved (demolded) without damage, and reused for the next molding cycle.

Fig. 5.4
figure 4figure 4

Graph showing zero shear viscosities for some standard resists for thermal NIL for different polymers, taken from different sources: PMMA with Mw of 25−75k and commercial resists (after [5.8, 5.9]). It shows the potential of rheology and of the large variation of viscosity of thermoplastic polymers with temperature. These curves are presented for the temperature range characterized above the viscous Tg. A process window for imprint is limited by high viscosity where unwanted viscoelastic effects become dominant and molding slow. Lower viscosities than 103 Pa s are often not useful because it is often achieved with too low Mw or too high Timprint (after [5.8])

Fig. 5.5
figure 5figure 5

Typical process sequence: schematics of process sequence used for hot embossing (temperature–pressure diagram with time dependence), (1) begin heating, (2) begin embossing, (3) begin cooling, (4) demolding at elevated T, (5) demolding at ambient T

1.2.3 Molding of UV-Curable Resists by UV-NIL

With the integration of light sources into imprint machines, UV-NIL was developed for curable resists [5.21, 5.22, 5.23, 5.24]. The basic difference between UV-NIL and T-NIL is that a resin, which is liquid at room temperature, is shaped at a moderate pressure, and by exposing light through the transparent stamp, the resin is crosslinked and hardened (similar to negative tone resist processes). The stamp either sinks down to the substrate or must be kept at constant distance from the substrate during both filling and exposure, due to the low resist viscosities. The mechanical setup has to be able to compensate for wedge errors in a low-imprint-pressure process. Patterning on nonflat substrates or over topography therefore requires a planarization strategy and often small stamps. Because of the small pressures, stamps made of soft materials on a rigid backbone can be used. These soft imprint approaches (soft lithography) have become popular for large-area imprint and small and moderate volume manufacturing; e. g., patterned sapphire substrates (PSS). Hard stamps are used in the so-called jet-and-flash imprint lithography (GlossaryTerm

JFIL

) approach, favored by Canon Nanotechnologies (CNT, formerly Molecular Imprints Inc., MII) for semiconductor chip manufacturing [5.25].

1.2.4 Pattern Transfer: A Two-Step Process with Window Opening and Conversion

In many cases the lithographic process is only complete when the resist pattern is transferred into another functional material. The dimensions (lateral structure size and height) are often similar to the film thickness used. In NIL, in comparison to standard electron (GlossaryTerm

EBL

) or photolithography (PL), pattern transfer is a two-step process. First the thin polymer film is transformed into a masking layer. For this, the residual layer remaining in the thin areas of the resist has to be removed, which is done by homogeneously thinning down the entire resist in an (ideally) anisotropic etching process. This way, process windows are opened to the substrate, which allows the substrate to be altered by attack by plasma, etching solutions, deposition of materials and other changes. Because the thinning down of the resist for window opening is also a cleaning step (called descum), it is similar to the wet development of the exposed resist used in PL.

There are an increasing number of process variations, which are mostly variants of the established T-NIL and UV-NIL processes, particularly those using special methods of pattern transfer (e. g., reversal imprint) and hybrid processes (combinations of different processes). All the processes have their specific advantages, e. g., while UV-NIL can be performed at room temperature, hot embossing is often low-cost since nontransparent silicon stamps can be used. The major characteristics of typical processes, along with those of hybrid approaches, are summarized in Table 5.2 and presented in more detail in [5.8].

Table 5.2 Comparison of hot embossing (NIL) and UV-imprint (UV-NIL), with typical parameters of current processes

2 Nanoimprint Process

Molding techniques based on imprint processes make use of the differences between the mechanical properties of a structured stamp and a molding material. The viscous molding material is shaped by pressing the hard stamp into it, until the polymer conforms to the stamp surface. In hot embossing processes we mostly deal with thermoplastic materials whose mechanical properties can be repeatedly and reversibly changed from a solid into a viscous state by simply varying the temperature. In order to achieve a reasonable process time and yield, this is normally carried out under high pressure. Thermal NIL deals with a viscosity range that is considered as sufficiently low to enable significant squeeze flow over large distances, but high enough that bending of wafers can be used to equilibrate surface undulations of common substrates and pattern density variations in stamps. While squeeze flow governs high-viscosity molding (where pressure is the driving force to displace the viscous material), in UV-NIL low pressure or even mold filling by mere capillary action (where surface energy controls the wetting and spreading of the viscous material) is possible if very-low-viscosity resins are used. In this section we want to take a closer look at the squeeze flow of thin polymer films as used for thermal NIL, a concept that is quite general and enables us to get an insight into possible parameter variation for process optimization. We will give a brief introduction to the theory of polymers and discuss the implications for NIL [5.26, 5.27]. This will enable the reader to understand rheology in NIL from a practical point of view. More fundamental questions of squeeze flow are discussed in [5.28] or in [5.29]. In the section on curable resists, we will introduce concepts mainly used in UV-NIL, such as soft UV-NIL.

2.1 Limits of Molding

Polymers used as resists in NIL are defined by their chemical composition and physical properties. In the case of molding these are often long-chain molecules with a molecular weight Mw. The polymer Mw is important because it determines many physical properties. Some examples include the temperatures for transitions from liquids over viscoelastic rubbers to solids, and mechanical properties such as stiffness, strength, viscoelasticity, toughness, and viscosity. However, if the Mw is too low, the transition temperatures will be too low and the mechanical properties of the polymer material will be insufficient to be useful as hard resist for pattern transfer, e. g., in reactive ion etching, metal evaporation or electroplating.

It has been known for a long time that polymers can replicate topographies with high fidelity. Up to now, 5 nm resolution of polymer ridges with a pitch of 14 nm has been demonstrated, but even atomic steps in sapphire wafers have been replicated. In comparison to methods like electron-beam lithography (EBL), where a nanoscale chemical contrast can be can be produced by local irradiation-induced chain scission, the polymer chains are only moved and deformed during molding, thus keeping their properties such as Mw. Molding topographic details down to a few nanometers means that single polymer chains have to deform or flow.

A polymer is a large molecule made up of many small, simple chemical units, joined together by chemical reaction. For example, polyethylene \(\mathrm{CH_{3}{-}{}(CH_{2})_{\mathit{n}}{-}{}CH_{3}}\) is a long chain-like molecule composed of ethylene molecules CH2 = CH2. Most artificially produced polymers are a repetitive sequence of particular atomic groups, and take the form [–A–A–A–]. The basic unit A of this sequence is called a monomer unit, and the number of units n in the sequence is called the degree of polymerization. The molecular weight Mw of a polymer is defined by the weight of a molecule expressed in atomic mass units (amu). It may be calculated from the molecular formula of the substance; it is the sum of the atomic weights of the atoms making up the molecule. For example, poly(methyl methacrylate) (PMMA), a classic resist material, exhibits very good resolution for both EBL and NIL. A high-Mw PMMA, typically above 500 kg ∕ mol (also denoted 500k), is normally used for EBL, since the development contrast between exposed and unexposed areas increases with Mw [5.30]. A lower Mw, of some tens of kg ∕ mol, is patterned in NIL, due to the strong increase in temperature-dependent viscosity with Mw. Apart from their mobility it is expected that shorter chains, which in the case of amorphous polymers are normally present as entangled coils, can move more easily into small mold cavities. A convenient way of expressing the size of a macromolecule present as a statistical coil aggregate is the radius of gyration Rg.

A polymeric liquid, whilst retaining the properties of a liquid, follows a rubber-like elasticity. Substances with both viscous and elastic properties are called viscoelastic. Considering the fact that that molding is achieved by deformation of a polymer network at a molecular level, the question is how the polymer can be permanently shaped and whether the replicated structure will deform back due to internal reordering and relaxation of polymer chains.

The reduced viscosity of polymers at higher temperatures is a result of the increasing ability of the chains to move freely, while entanglements and van der Waals interaction of the chains are reduced. The glass transition of a thermoplastic polymer is related to the thermal energy required to allow changes in the conformation of the molecules at a microscopic level, and above Tg there is sufficient thermal energy for these changes to occur.

Most of our considerations here are valid for a range of practical process parameters, as used in current thermal NIL processes, where linear behavior can be assumed (Newtonian flow regime). This is in particular the case at molding temperatures well above Tg. For thermoplastic molding, however, the Tg is only a rough indication of a temperature for fast molding. More suitable than Tg is the flow temperature Tf, which characterizes a point at which viscosity drops to practical values for molding needed for fast NIL (i. e., 103−107 Pa s, about 50C above Tg for 25k PMMA, Fig. 5.6) [5.8].

Fig. 5.6
figure 6figure 6

Mechanical properties of polymers dependent on temperature, molecular weight, and crosslinking (after [5.26]). Schematic for a polymer with a Tg around 100C for normal process conditions. Particularly important for thermal NIL are the large drops of G at two temperatures, Tg and Tf. At Tg the thermomechanical properties between stamp and polymer become sufficiently different for repeated molding. Tf characterizes a point at which viscosity drops to practical values for molding needed for fast imprint

2.2 Squeeze Flow of Thin Films

During imprinting, linear movement of a stamp is transformed into complex squeeze flow of the viscous material. In the thin polymer films used in NIL, a small vertical displacement of the stamp results in a large lateral flow. The two surfaces of the stamp and the substrate have to come entirely in contact with each other and keep this contact until the desired residual layer thickness is reached. Furthermore, new concepts are possible such as roll embossing (roll-to-roll NIL) and soft imprint using flexible stamps. In Fig. 5.7, the imprint of a stamp with line cavities is schematically shown.

Fig. 5.7a,b
figure 7figure 7

Geometrical definitions used for the description of the flow process for a stamp with line cavities and protrusions: (a) before molding, and (b) after demolding. In the case of viscous molding, where volume conservation can be anticipated, the residual layer thickness can be calculated from geometrical parameters such as the initial film thickness and the size and density of cavities

Before imprint, the polymer film has an initial thickness h0 and the depth of the microrelief is hr. For a fully inserted stamp, the film thickness under the single stamp protrusions (elevated structures) with width si is hf. We can calculate this specific residual layer height hf by applying the continuity equation with the assumption that the polymer melt is incompressible (conservation of polymer volume). It can be directly deduced from the area fill factor ν, i. e., ratio of the area covered by cavities to the total stamp area.

A simple model for the squeezed polymer flow underneath the stamp protrusion is obtained by treating the polymer as an incompressible liquid of constant viscosity, and solving the Navier–Stokes equation with nonslip boundary conditions at the stamp and substrate surfaces. According to this model, given for line-shaped stamp protrusions and cavities in [5.31, 5.8], we find the following expression, known as the Stefan equation , for the film thickness h(t) underneath the stamp protrusion when a constant imprint force F is applied to the single stamp protrusion

$$\frac{1}{h^{2}(t)}=\frac{1}{h_{0}^{2}}+\frac{2F}{\eta_{0}Ls^{3}}\,t\;.$$
(5.1)

Inserting the final thickness hf ≡ h ( tf )  in (5.1) gives the imprint time

$$t_{\mathrm{f}}=\frac{\eta_{0}Ls^{3}}{2F}\left(\frac{1}{h_{\mathrm{f}}^{2}}-\frac{1}{h_{0}^{2}}\right)\;.$$
(5.2)

For many practical cases a constant pressure under each stamp protrusion \(p=F/(s\,L)\) can be assumed.

As a direct consequence of the Stefan equation it can be seen that, at identical pressure, small (narrow) stamp protrusions will sink faster than large (wide) ones. The stamp geometry can therefore be optimized by reducing the dimensions of the protrusions. While stamps with nanopillar arrays, as shown in Fig. 5.2, would allow fast imprint of some microseconds, using standard NIL process parameters, already protrusions of some hundreds of micrometers would increase imprint times to some hours. The strong dependence of the imprint time on the pressing area has the consequence that, for a fully inserted stamp relief (full contact over the total stamp area), the flow practically stops (schematically shown in Fig. 5.8). For this case, s becomes large and flow continues only towards the stamp borders. It is also evident that there is only weak influence of the imprint force (\(t_{\mathrm{f}}\propto 1/F\)). At first sight there is a similar weak influence for η0. However, the viscosity can be changed significantly by varying the temperature. For practical use, it is quite important that trade-offs are possible between structure height, resist thickness, pressure, and temperature. For example, within certain limits, a low imprint pressure can be compensated by a longer time or a higher temperature.

Fig. 5.8
figure 8figure 8

Schematics (right) of the squeeze flow of a compressed polymer film into one cavity. Once the cavity is filled the stamp continues to sink but at a much slower rate (left), as a direct consequence of the Stefan equation

The implications of squeeze flow are discussed in more detail in [5.19, 5.32], including rheological issues, bending of stamps in large-area imprint and influence of vacuum and self-assembly [5.33, 5.34, 5.35, 5.36].

It is has to be noted that while the Stefan equation governs the sinking of the stamp on the basis of squeeze flow, very small stamp protrusions with high aspect ratio deviate from this behavior. The slowing down is then more governed by the Stokes drag, which does not allow the polymer to proceed upwards in an narrow cavity due to the reduced wetting of the sidewalls [5.36]. In contrast to this, for large stamp protrusions, bending of the entire stamp e. g., in areas of high density of cavities where sinking is enhanced, enables printing faster than predicted by the Stefan law.

2.3 Residual Layer Thickness Homogeneity

The main difference between NIL and lithography based on exposure and development is that a residual layer below the stamp protrusions is left after demolding. As seen before, this is a result of the molding process slowing down due to the squeeze flow. For many applications, when pattern transfer has to be achieved after the imprinting, it is important to determine the final residual thickness hf of this polymer layer (Fig. 5.7) before the next process step. Furthermore it is important to know the thickness variation over the imprinted area. Otherwise, parts of the structure will be lost during pattern transfer. As will be shown in the following, bending of stamps has to be taken into account, as well as effects such as air inclusions, dewetting and self-assembly of resist [5.35]. In most cases a homogeneous residual layer can be achieved by optimizing the pattern design, but also by using adapted processes that create thin residual layers independent from design. In contrast to this, pattern transfer processes that are insensitive to thickness variations have to be used, e. g., by using a resist with high etch resistance or an intermediate layer as a hard mask. The following examples demonstrate how soft and hard elements for equilibration are used to achieve a homogeneous molding.

2.4 Demolding

During demolding the rigid stamp is detached from the molded structure, which can be in a parallel way when using small, rigid stamps, or by delamination, if thin, wafer-like substrates are used. If fully molded, the thickness profile in the resist exhibits the inverse polarity of the relief of the stamp surface. The demolding process, also called de-embossing, is normally performed in the frozen state, i. e., when both mold and molded material are considered solid. For thermoplastic materials this happens at a temperature well below Tg, but high enough that frozen stress due to thermal contraction does not lead to damage during demolding. In such cases in which the resist is cured before demolding i. e., crosslinked by exposure or heat, demolding can take place at temperatures similar to the molding temperature. A successful demolding process relies on a controlled balance of forces at the interfaces between stamp, substrate and molded polymer film. Therefore mechanical, physical and chemical mechanisms responsible for adhesion have to be overcome. The following effects have to be avoided or reduced [5.37] (Fig. 5.9):

  • Undercuts or negative slopes in the stamp may lead to mechanical interlocking of structures, which in their frozen state are elastically elongated and deformed before ripping. Sidewalls with positive or at best vertical inclination are prerequisite for demolding without distortion.

  • Friction due to surface roughness may occur during the sliding of molded structures along vertical cavity walls. The effect of this can only be overcome if the surface of the molded material is elastic and enables gliding of the wall without sticking.

  • The enlarged surface area of the patterned stamp leads to an increase of hydrogen bridges and van der Waals forces, or other chemical bonding effects due to ionic, atomic and metallic binding. This effect can only be overcome if the stamp surface can be provided with sufficient anti-adhesive properties.

Fig. 5.9a–f
figure 9figure 9

Demolding issues: (a) generation of vacuum voids (V), (b) elongation and ripping of single structures, (c) ripping of resist from substrate, (d) penetration of air into voids (inclined sidewalls), (e) shrinkage and generation of rims, (f) relaxation of frozen-in-strain (after [5.8])

The most critical point is that demolding forces largely depend on the geometry of the mold, and the overall design of a stamp structure has to be taken into account. Therefore structures with high aspect ratio may be more prone to ripping, and if many neighboring structures exert high forces to the underlying substrate, whole areas of resist may be detached from the substrate surface. Anti-adhesion layers on the mold can reduce friction forces, but have to be thin and durable. In thermal NIL the expansion coefficient of the substrate αsubstrate and of the stamp αstamp should be similar, to avoid a distortion due to mechanical stress induced by the cooling. In the case of very thin polymer layers, the lateral thermal expansion of the resist is determined by the substrate. For structures with a higher aspect ratio the demolding temperature Tdemold should be well below Tg to enable the demolding of a hardened resist without distortion, but as near as possible to Tg, because the stress induced by thermal shrinkage should not be exceeded in critical areas where structures tend to break.

2.5 Curing of Resists and UV-Assisted NIL

Curing by UV exposure , by thermal treatment or chemical initiation is a way to crosslink polymers and to make them durable for demolding [5.21, 5.22, 5.38, 5.39, 5.40, 5.41, 5.42, 5.43]. A high reaction speed, as caused by a high exposure dose, high initiator content or curing at high temperatures, leads to fast, but weak crosslinking, whereas a slow reaction leads to highly polymerized, tougher materials because the slow polymerization enables a more complete process. As shown in Sect. 5.1.2, different process strategies have been developed. In most of them the curing step is independent from the molding step, and can be initiated by light or a specific temperature after the molding is complete. Because curing involves a change in the physical conformation of the polymer, it always goes along with a volumetric shrinkage of the polymer. For example, acrylate polymerization is known to be accompanied by volumetric shrinkage that is the result of chemical bond formation. Consequently, the size, shape, and placement of the replicated features may be affected. In the following the main processes that involve curing are presented in more detail:

  • In the UV-NIL process, the resist is cured after molding, but before demolding of the stamp. The process relies on the photopolymerization of a low-viscosity, acrylate-based solution. Shrinkage was found to be less than 10% of the total volume in most cases. The liquid is a multicomponent solution. The silylated monomer provides etch resistance in the O2 transfer etch, and is therefore called an etch barrier. Crosslinking monomers provide thermal stability to the cured etch barrier and also improve the cohesive strength of the etch barrier. Organic monomers serve as mass-persistent components and lower the viscosity of the etch barrier formulation. The photoinitiators dissociate to form radicals upon UV irradiation, and these radicals initiate polymerization.

  • Polymer chemistries with oxygen inhibition do not cure within ambient atmosphere. Therefore stamps with inherent permeability to gas (e. g., PDMS) are not usable. When using hard stamps, resin between stamp and substrate will cure upon exposure, while the excess material on the stamp borders will stay soft. Many UV-NIL resists are noxygen non-inhibiting.

  • If a solid curable resist exhibits thermoplastic behavior, it can be molded at an elevated temperature and then crosslinked , either before or after demolding. The advantage of the process is that low-Mw resists with a low Tg can be provided, which can be processed at moderate temperatures. However, before pattern transfer, a hardening is often necessary. They can also be used for mix-and-match with PL or for polymeric stamp copies.

  • Thermoset resists can be crosslinked by heat. Here it is of advantage that the temperature for molding is lower than the curing temperature. Then the structure is first molded and then heated to its crosslinking temperature to induce crosslinking, before the stamp is demolded from the hardened surface relief.

More information about curing and multilayer resists can be found in Sect. 5.3 of this chapter.

2.6 Mix-and-Match Methods and Combination with Directed Self-Assembly

Mix-and-match approaches are used to combine the advantages of two or more lithographic processes or simply to avoid their mutual disadvantages [5.44, 5.45, 5.46]. It is also a way to improve throughput and reliability, e. g., since the fabrication of large-area nanostructures is often costly, the definition of microstructures can be done with PL, while the nanopatterning of critical structures in small areas is done by NIL. In many cases NIL would be used as the first process step and by adding alignment structures along with the nanopatterns, the less critical structures can be added after the NIL step using PL with an accuracy given by the mask aligner (in the range of 1 μm).

2.7 Multilayer and Multilevel Systems

Multilayer resists systems are used if the etching selectivity of a masking layer has to be enhanced, e. g., for the fabrication of high-aspect-ratio structures, or undercuts to be generated, e. g., when lift-off or a planarization layer has to be employed for printing over topography [5.47, 5.48].

The most important application of double resists is for low-pressure processes such as UV molding (Fig. 5.10). For prestructured substrates with topography, a planarization layer is needed, because the low pressure of below 1 bar is often not sufficient to achieve a conformal contact of the transparent mask with the nonflat substrate surface. Otherwise parts of the resist stay unmolded.

Fig. 5.10a–e
figure 10figure 10

Process scheme of UV imprint and pattern transfer, using a double layer (also called direct JFIL). The molded top layer, also called the etch barrier, is coated on a transfer layer, which serves as a planarization layer. It has also antireflective properties for the UV exposure through the stamp. (a) Dispensing of viscous resist droplet, (b) imprint, (c) UV exposure and curing, (d) demolding of hardened resist, (e) residual layer etch and transfer into bottom layer (breakthrough etch/window opening)

Often top layers with high etching resistance, e. g., silicon-containing resists (similar to hardening by silanization) are chosen for UV-NIL. After molding the top layer, the pattern is transferred into the underlying planarization (transfer) layer. The top layer can be kept thin, while the etching depth can be further generated by choosing a thick bottom layer. Normally the tone of a stamp pattern is inversed when etching is used for pattern transfer. The tone can be preserved if another tone reversal process is used. This can be achieved by imprinting a pattern into the thick transfer layer, and by spin coating a silicon-containing resin on top of it. If the top residual layer of the planarized film is etched away, the high etch resistance of the silicon remaining in the trenches of the bottom layer will enable patterning of the transfer layer with reversed tone. This strategy has the advantage that stamp contamination containing silicon residues is avoided [5.49].

The 3-D patterning capability of NIL makes it possible to reduce the number of process steps in contact layer fabrication of microchips by using innovative pattern transfer. The connection of the transistors is done using several levels of lateral wires, each contacted vertically by through holes. This contact layer of a chip is fabricated using lithography and copper electroplating. For the wiring scheme of a chip, as shown in Fig. 5.11, eight levels of wiring layers are needed, each of which is done in a so-called dual hard damascene process. A two-tiered stamp with three height levels makes it possible to pattern the through holes as well as the wires in one step [5.50, 5.51, 5.52]. In this way, several exposure steps can be replaced by a single imprint with patterns of different residual polymer layer thickness. Similarly, a so-called SAIL (GlossaryTerm

SAIL

) process was developed that allows the patterning of transistors on flexible electronics, with several aligned metal layers. This is achieved by imprinting a multilevel pattern into a resist, and by subsequent etching of each resist level followed by a selective etching step where the metal layers are etched. Figure 5.11 shows the pattering scheme for one level of the contact layer of an IBM power computer microprocessor. Obducat has used a similar process for the generation of micrometer-sized contact holes in printed circuit boards and Hewlett Packard employed the SAIL process for flexible electronics.

Fig. 5.11a,b
figure 11figure 11

Modified JFIL process proposed by Sematech to replace a dual top hard damascene process for copper contact plating by a two-tiered stamp (after [5.51]). (a) Scanning electron microscope (SEM ) of a contact layer of a microchip (cross section) with interconnecting copper layers, (b) process scheme (after [5.50])

2.8 Reversal NIL and Layer Transfer

In contrast to NIL, in reversal NIL the resist is patterned either directly on the stamp or on an auxiliary substrate, e. g., by spin coating, casting or imprint, and then transferred from the mold to a different substrate by bonding. Thus patterned resist structures are obtained as in direct NIL, and even embedded channels can be created. The concept is well presented in [5.53, 5.54, 5.55]. In reversal NIL it is possible to transfer patterns onto substrates that are not suitable for spin coating or have surface topographies. However, complete transfer does not only depend on a good balance of the surface energies, but also on the pattern density and roughness of the structures. As an example, embedded channels generated by reversal NIL are shown in Fig. 5.12 [5.53].

Fig. 5.12a,b
figure 12figure 12

Reverse microfluidic channels fabricated by double-sided imprinting: (a) 3-D schematic of a resist with a top grating and embedded channels. (b) SEM micrographs of cross-sections of imprinted nanofluidic channels: 3000 nm (width) ×  200 nm (height) channels, with a 700 nm pitch grating on top (after [5.53])

3 Tools and Materials for Nanoimprint

Mechanical nanofabrication techniques based on molding require tools and materials with matched mechanical properties. The mold has to be made from a material that is sufficiently hard to sustain at least one processing cycle. From the viewpoint of mass fabrication, a mold is considered as a tool that survives the molding process unaltered and uncontaminated, and thus can be reused many times after each molding step. In this way many identical replicas can be drawn (copied) from one mold. Due to the conformal molding, the surface of these copies is the negative structure of the original (inverted polarity). Therefore a true replica of the mold is generated, when a negative is again molded into a positive structure. Here, we use the terms replica and copy in the more general sense that also negatives are considered as true copies of an original.

For thermal NIL as well as UV-NIL, single-step wafer-scale processing and step-and-repeat approaches have been developed. The aim is to make the reader familiar with concepts rather than presenting machines and materials sold on the market.

3.1 Resist Materials

Resists used for NIL are either used as an intermediate masking layer for the substrate or as a functional layer for a specific application. Both the processing properties and those for the final application purpose have to be considered. Many resists, as those used for PL and EBL, exhibit thermoplastic behavior. A typical example is PMMA, a regular linear homopolymer, with a short side-chain. It is used as a high-resolution standard material for EBL and also as bulk material for hot embossing and injection molding. When used as an etching mask, e. g., for Si, it exhibits a sufficient, but not high etching resistance. The glass transition temperature Tg of PMMA (100−120C) is low enough to enable molding at temperatures below 200C, but high enough to ensure a sufficient thermal stability in etching processes. Acrylate-based polymers can also be used with crosslinking agents. Apart from PMMA, a number of resists have been developed and characterized; they exhibit different Tg values, and were optimized for greater etching resistance, better flow at lower temperatures or equipped with intrinsic release properties. In Table 5.3 we give an overview of NIL resists with references to further information on these materials. Further information can be found in [5.9].

Table 5.3 Thermoplastic or thermocurable materials used as resist layers for thermal and combined thermal and UV-NIL processes, with values for glass transition temperature Tg, recommended imprint temperature range Timprint, viscosity η and Young's modulus E (after [5.9])

UV-curable NIL materials are composed of a mixture of monomers (or prepolymers) and a suitable photoinitiator, and often chemicals are added that decrease the effect of radical scavengers on photopolymerisation  [5.56, 5.57, 5.58, 5.59]. Immediately during contact of the stamp with the liquid mixture, filling of the mold starts by capillary forces, which pulls the stamp towards the substrate. Therefore, the general strategy is as follows: low viscosities are needed both for rapid dispensing and filling of mold cavities. Thin resin layers on top of a thicker transfer layer are used to achieve a homogeneous film thickness. Crosslinking and photopolymer conversion is adapted to achieve high curing speed and high etch resistance in the following breakthrough plasma etching process.

In UV-NIL a chemical reaction between stamp and the resist cannot be excluded. Small feature sizes along with high silicon content and a large degree of crosslinking make any residual imprint polymer left on the mold almost impossible to remove from the template without damaging the expensive quartz template. It was shown that a fluorosilane release layer applied to an UV-NIL stamp undergoes attack by acrylate, methacrylate and vinyl ether UV-curable resist systems, pointing to its degradation being intrinsic to the chemistries involved. Future resist chemistries have to satisfy the criterion of low reactivity toward anti-adhesive coatings and stamp materials [5.60, 5.61].

3.2 Stamps

Stamps are characterized by a 3-D surface profile with protruding and recessed areas that are placed on a backbone conforming to the molding tool and the substrates to be used. The formed cavities, once the protruding areas are in contact with the moldable material, are filled during the process by displacement. Not only the mechanical, but also optical and chemical properties are important when choosing a stamp material for NIL. Critical mechanical parameters and their implications for NIL are hardness and thermal stability (lifetime and wear), thermal expansion coefficients and Poisson's ratio (dimension mismatch leading to distortions during demolding), roughness (higher demolding force and damage), Young's modulus (bending), and notch resistance (lifetime and handling). Issues related to fabrication are processability (etching processes, selectivity, cleanroom environment) and surface quality (resolution). The use in a NIL process is determined by additional properties such transparency, conductivity, wetting and antisticking properties (with/without anti-adhesive coating, e. g., by covalent coating), availability and cost (standard materials and sizes, tolerances, processing equipment and time), and how easy it is to employ in NIL (e. g., fixing by clamping, thermobonding, gluing). In Table 5.4 we give a brief overview of mechanical and thermal properties of materials used for stamps. Further information can be found in [5.62, 5.9].

Table 5.4 Comparison of different materials for stamps (after [5.9])

3.2.1 UV-NIL and Soft Lithography with Conformable Stamps in Low Pressure Imprint

The forces on the stamp protrusion with liquid resists are induced by capillary action rather than by squeeze flow and are therefore low. Therefore in UV-NIL, compliant stamps made from elastomeric materials e. g., PDMS (poly-dimethyl siloxane), a UV transparent rubber, can also be applied. The concept of layered stamps – a thin PDMS relief coated on a harder substrate – is particularly useful in full wafer concepts. It combines the complementary mechanical properties of a soft surface relief for the achievement of local conformal contact and a rigid, but bendable backbone, which can be used for mounting and alignment. A process working with moderate resist viscosities (with η0 = 50 mPa s and below) for providing liquid films by spin coating was developed and can be applied at reduced environmental pressure in [5.39].

3.3 Stamp Fabrication and Tooling

Any kind of process generating a surface profile in a hard material can be used to fabricate stamps for NIL. The most common lithographic processes are based on resist patterning with subsequent pattern transfer. Therefore the requirements for these processes such as resolution, aspect ratio, depth homogeneity, sidewall roughness, and sidewall inclination are similar to the processes needed to do pattern transfer after NIL. For highest resolution down to sub-10 nm, both serial and parallel fabrication methods are available, however, with different area, throughput, and freedom of design. The processes are standard processes for nanolithography, such as electron beam lithography (EBL), even focused ion beam (GlossaryTerm

FIB

) lithography, but also interference lithography (IL), which also can be used directly for patterning. For large-area patterning with relaxed requirements on resolution, or for mix-and-match with high resolution techniques, photolithography (PL) has become a standard process. For 3-D topographies, apart from multiple patterning techniques, grayscale lithography and 2-photon-polymerization are more and more used. Using stamp copies instead of the original (as described for PDMS in the section before) is a way of enhancing the lifetime of a stamp, simply because the original is reserved for the copying process. There are different methods to generate copies from hard masters with proved resolutions below 100 nm: materials are now available that offer not only high replication fidelity down to 10 nm, but are also suitable for copying e. g., the fabrication of working stamps or of bendable molds that are used for roll-to-roll processes. A specific advantage of stamp manufacturing by copying is the possibility of use of resolution enhancement. Apart from combination of lithography with directed self-assembly, atomic layer deposition followed by spacer etching has been become popular because it enables the use of the original structures as guiding structures for further topography or chemical contrast selective processing. As an alternative to electroplating, imprinting into and casting of polymers, ormocers (hybrid organic and inorganic materials) and silicones (rubber-like soft stamps) have become popular ways of preparing stamp copies for prototyping and fabrication of a moderate number of replicas. However, the lifetime of polymeric molds is still low in comparison to nickel and silicon molds and good solutions for anti-adhesive coatings have to be found. Sylgard 184 from Dow Corning enables the fabrication of a number of transparent templates with sub-μm details. The liquid is cured by heat, and the PDMS solid is peeled away from the stamp original. Newer formulations with higher Young's moduli enable resolutions down to 50 nm. Hybrid molds use different materials for the surface relief and the support. They consist of a substrate plate as a mechanical support covered with a thin polymer layer with a nanostructured relief. In the case of NIL they have the advantage that a substrate material can be chosen with thermomechanical properties adapted to the substrate to be patterned. Furthermore it is useful if thin flexible substrates are needed.

The methods differ mostly in the properties of the materials used for the stamps (mechanical robustness, thermal expansion coefficient, transparency, fabrication tolerance) and the surface properties of the patterned relief (anti-adhesive coating possibility).

3.4 Anti-adhesive Coatings

One of the most important tasks for NIL is to provide stamps with good antisticking surface properties [5.63, 5.64]. The stamp surface should allow the molded surfaces to detach easily from the mold, and once released, provide a low friction resulting in a continuous vertical sliding movement without sticking. Nanoscopic interlocking of structures caused by sidewall roughness should be elastically absorbed by the molded material, while the surface maintains its antisticking properties. Because the molded polymer film is squeezed between the two surfaces of stamp and substrate, they need to exhibit opposite surface properties. The adhesion at both interfaces must be different to an extent that while the polymer film adheres perfectly at the substrate surface, the stamp can be separated from the structures without any damage at any location of the stamp. If the stamp material does not exhibit good anti-adhesive properties to the molded material, the stamp has to be coated with a thin antistiction layer (GlossaryTerm

ASL

). A low-surface-energy release layer on stamp surfaces not only helps to improve imprint qualities, but it also increases the stamp lifetime significantly by preventing surface contamination. An ASL has to be chemically inert and hydrophobic, but at the same time allow a filling of the mold cavities when the polymer is in its viscous state.

One of the major advantages of using Si or SiO2 stamps for NIL is that they can be coated using silane chemistry . Fluorinated trichlorosilanes with different carbon chain lengths are commonly used due to their low surface energy, high surface reactivity, and high resistance against temperature and pressure. They support multiple long imprint sequences with repeated temperature cycles higher than 200C. Currently it seems that as long as mechanical abrasion can be avoided, the silanes match the normal use lifetime of a Si stamp, which is some tens of cycles for NIL in a laboratory environment or thousands if automated step-and-repeat imprint processes or injection molding processes are used. For mass manufacturing it is advisable to use resists with intrinsic antisticking properties e. g., fluorinated components that are able to migrate towards the stamp's surface while being imprinted. The silane coating can be performed by immersion in a solution of iso-octane, or by chemical vapor deposition (GlossaryTerm

CVD

), either at ambient pressure by heating the silane on a hot plate or by applying a moderate vacuum of some mbar. One of the most prominent advantages of the vapor deposition method is that it is not affected by the wetting ability of a surface, and that it is suitable for stamp surfaces with extremely small nanostructures.

A commercially available silane used is shown in Fig. 5.13. F13-OTCS = (tridecafluoro-1,1,2,2-tetrahydrooctyl)-trichlorosilane is the standard material for anti-adhesive coatings on silicon.

Fig. 5.13
figure 13figure 13

Molecular structures of a fluorinated silane with a reactive trichlorosilane head group and a long alkyl chain with fluorine substituents (length about 2 nm). The silane binds covalently to the silicon oxide of the stamp surface and is used as the standard coating of silicon stamps in NIL

3.5 Machines

NIL can be carried out using three different types of machines:

  1. 1.

    Single step (Fig. 5.14a,b)

    Fig. 5.14a–c
    figure 14figure 14

    Three examples of NIL presses. (a) Simple hydraulic press, with temperature-controlled pressing plates. (b) Semiautomated, hydraulic full-wafer NIL press, based on an anodic bonder. (c) Automated jet-and-flash UV-NIL production tool

  2. 2.

    Step-and-repeat (Fig. 5.14c)

  3. 3.

    Roller imprinting.

An imprinting machine needs a precise pressing mechanism with high requirements on uniformity and homogeneity over large areas [5.65, 5.66, 5.67, 5.8]. At the same time it should adapt to local variations of pressure and temperature, due to imperfections and tolerances in stamps and substrates, and simply because the stamp protrusions are inhomogeneously distributed.

Imprint machines generate a desired pressure pattern over the total area of the stamp. High throughput for manufacturing devices at the full wafer scale can be achieved either by parallel patterning of large areas or by fast repeated patterning using a semiserial stepping process. The pressure field can also be applied sequentially by using a rigid but stepped imprint mechanism or continuously scanned pressure field, as used in roll embossing (or roller imprint) (Fig. 5.15). In all cases a defined area of the molding material is sandwiched between the solid stamp and substrate, which are backed up by a pressing mechanism.

Fig. 5.15a–c
figure 15figure 15

Outline of the three most common types of NIL machines (a) full-wafer parallel press, (b) step-and-repeat press, and (c) two roll-embossing setups

3.5.1 Single-Step Wafer-Scale NIL (Large Area)

Single-step NIL machines pattern the surface on an entire wafer (current state 300 mm) or extended substrate (e. g., Gen2 panel 370 × 470 mm2) in one step. Thus the stamp must have the same size as the substrate to be patterned. The simplest mechanism for full-wafer imprint is a parallel plate imprint system. A linear movement of the piston behind the stamp leads to a local thinning of the polymer under the stamp protrusions, which is possible because the polymer is moved from squeezed areas into voids in the stamp. This movement can be generated using pneumatic, hydraulic, or motor-driven pistons. The pressure must be maintained during the whole molding process, until the voids are filled, and the molded structures are fixed during the cooling or curing step, depending on the method used. However, under normal process conditions, an imprint with a hard master does not work without a cushioning mechanism. This cushion balances both thickness variations due to tolerances of the setup and due to the nature of the molding process. The latter is caused by the fact that the size and shape of the stamp surface relief leads to a local pressure variation during the squeeze flow and, if the stamp can bend, to a local difference in sinking velocity. When using thick polymer plates, where the molding leads to a surface modulation of a bulky material, the cushion is formed by the viscous material itself. However, in NIL, a thickness profile has to be generated in a resist of which the thickness is often lower than the thickness tolerances of the substrates and mechanical setup used. Furthermore, height defects in the range up to some μm, such as dust particles, have to be equilibrated. Therefore the cushioning has to be achieved by the pressing mechanism and its ability to compensate has to be larger than the defects and tolerances of stamps and substrates. A lateral spreading and dispersion of the applied pressure can be achieved by using a spring mechanism, which can consist of an additional plastic or elastic layer. For example, a mattress made of rubber, Teflon or elastic graphite can be used. Due to the high pressure used in NIL, a compensation of small wedges, i. e., nonparallel alignment, is not needed. An even better pressure homogeneity can be obtained, when the cushion effect is generated by compressed air or liquid. This can be realized by constituting a pressure chamber, which is sealed against the backside of the stamp. In practice this is realized by placing a metallic or polymeric membrane between the pressure chamber and the stamp, which deforms around the stamp and substrate, and which is sealed with the counterforce of the stamper. Such tools are particularly well suited for soft stamps and UV-curable liquid resists, which can be imprinted at low pressure. The advantage of this soft stamping method is that a very gentle contact between stamp and substrate can be achieved by adjusting the air pressure, so that the surface can assume parallel alignment before the molding starts, and even local progression and retraction of the stamp can be programmed (e. g., in the so-called substrate conformal imprint lithography, GlossaryTerm

SCIL

 [5.68]. During molding the pressure is equilibrated without delay, which assures a constant press force in all areas of the stamp only limited by the bending of the stamp.

All press concepts can be realized with heating elements for NIL, or with a UV exposure tool that enables exposure of the resist during molding. Furthermore, combinations of thermoplastic molding and UV exposure are possible. The main difference between thermoplastic molding and UV imprint are the pressures needed for imprint. Pressures from 1 to 100 bar are used in NIL, while less than 1 bar (0.1 MPa, 14.5 psi) is sufficient in UV-NIL.

3.5.2 Step-and-Repeat NIL

In PL, stepping was needed because of the limitation of the maximum field size to be exposed, and because the continuous reduction of structure sizes and diffraction effects is only possible by optical reduction of the masking structures into the resist by high-resolution optics. In contrast to this, step-and-repeat (S&R ) NIL machines are physically identical to single-step NIL machines. They pattern a smaller area of a wafer at a time, and then move to an unpatterned area, where the process is repeated. The process is continued until the whole wafer is patterned. Many applications, e. g., with chip-based designs, enable the enlargement of the imprinted area by repeated printing with a smaller stamp, as long as the following imprints do not affect adjacent patterned areas. While this setup enables the use of smaller and more cost-effective molds, with which higher alignment accuracy can be achieved, higher process times and stitching errors at the borders of the patterned fields have to be taken into account. In the case of NIL heating and cooling times can be reduced because of the lower thermal mass, and in the case of UV-NIL illumination schemes can be simplified for smaller exposure fields; see Fig. 5.16.

Fig. 5.16a,b
figure 16figure 16

Step-and-repeat processes. (a) In NIL: step-and-stamp imprinting lithography (SSIL ), and (b) in UV-NIL: step and flash, aka jet and flash, imprint lithography (SFIL/JFIL). While in SFIL the liquid resin is cured locally by exposure through the stamp, in SSIL the resist is locally heated above its glass transition temperature by the hot stamp (T denotes a temperature often set above room temperature)

In thermal NIL the thermal mass of the parts being thermally cycled should be minimized, in order to reduce the obtainable process time. This problem is readily addressed in S&R and in roll-to-roll NIL approaches, but has also found a solution in the concept of heatable stamps [5.69] or by surface heating by means of pulsed laser light [5.70]. The most advanced system is JFIL, which is directed towards high-volume manufacturing using 1 : 1 stepping of 26  ×  33 mm2 stamps. Apart from this, stamp fabrication and area enlargement, similar to recombination in counterfeit hologram production, is the most attractive field for S and R processes.

3.5.3 Roll-to-Roll NIL

Roll-to-roll (R2R) processing, also known as web processing, or reel-to-reel processing, involves the patterning of flexible materials such as plastics or metal foils (web), which are transferred from a roll (or reel) to another roll. If surface topographies are transferred to the foil, the term roll embossing is often used, which is here – in accordance with the scope of the chapter – named R2R-NIL [5.67, 5.71, 5.72]. To ensure continuous patterning of a resist on the foil, it is fed into the gap between two cylinders (rolls) from which one is patterned and the pattern is transferred. The area of highest pressure in which displacement of material is possible, is – due to the flexibility of either cylinders or web – in a widened line, or length of the web, which is called nip. This nip, from a few millimeters to centimeters, defines, combined with the speed of feeding, the process time available for pattering. For this, often bendable stamps are needed, which are wrapped around the cylinder.

Continuous processing techniques are considered to have cost and processing advantages and are typically employed if speed and throughput have to be increased, but also if a constant quality over a large quantity of devices has to be achieved. However, they are physically identical to noncontinuous (sequential and stationary) processing techniques and require similar processing times for pattern formation and transfer. Therefore, apart from R2R, hybrid processes such as roll-to-plate (R2P) and plate-to-roll (P2R) sometimes have specific advantages over R2R. In R2P a pattern from a bent stamp can be imprinted or a resist transferred from a foil onto a flat substrate, and in P2R a flat stamp can be printed on a continuous foil in S&R semicontinuous mode.

In general, the advantage of roll processing is that once the process is stabilized, it can be run as long as contamination does not accumulate, antistiction layers (ASL) are preserved and processes are kept within a narrow process window. The disadvantage is that sometimes long optimization runs are needed before stability is achieved, causing waste of material, and the difficulty to interrupt processes for process control.

4 Applications

4.1 Types of Nanoimprint Applications

NIL applications can be divided into two main categories. In the first category, pattern transfer applications, the imprinted resist structure is used as a temporary masking layer for a subsequent pattern-transfer step. In the second category, polymer devices, the imprinted pattern adds functionality to the polymer film, which is the end product.

In many pattern-transfer applications, the main issue is high throughput at nanoscale resolution. Disregarding this issue, it is of minor importance whether the resist film is patterned by means of electromagnetic radiation, electrons, or by mechanical deformation. Only a few steps in the process flow are different, for example the dry etch step to remove the 10−100 nm-thick residual polymer layer after the imprint. Both additive and subtractive pattern transfer processes have been demonstrated, such as lift-off, electroplating and etching [5.73, 5.74, 5.75, 5.76].

The advantages of NIL come into play if high resolution is needed over a large area. For such applications, NIL is a cost-effective alternative to current cutting-edge lithography techniques such as deep ultraviolet (DUV) lithography, dedicated to CMOS chip manufacturing [5.12, 5.77]. Examples of such products are bit-patterned media (GlossaryTerm

BPM

) for hard-disk drives (HDDs) [5.78, 5.79], patterned sapphire substrates (PSS) for enhanced light extraction from light-emitting diodes (GlossaryTerm

LED

s [5.80] and subwavelength wire grid polarizers for high-definition television (HDTV) projectors [5.81].

The NIL process offers new possibilities to form polymer devices with microscale to nanoscale features. Nanoscale patterned polymer films find a wide range of applications within optics, electronics and nanobiotechnology. The capability to form 3-D polymer structures, with curved surfaces and high aspect ratio, paves the way for new classes of polymer-based passive optical devices, such as lenses and zone plates [5.46], photonic crystals (GlossaryTerm

PhC

s) [5.82] and integrated polymer optics [5.83]. The NIL technique allows for the choosing of a wide range of polymers with optimized optical properties, and allows for the patterning of thin films of organic light-emitting materials and polymers doped with laser dyes to create organic light-emitting devices (GlossaryTerm

OLED

s) [5.84, 5.85] and lasers [5.86]. NIL is also suitable for nanoscale patterning of conducting organic films for cost-effective organic electronics [5.87].

Also within the rapidly growing field of lab-on-a-chip applications [5.88], NIL offers an attractive, cost-effective method for molding of complex structures, integrating micro- and nanofluidics, optics, mechanics and electronics on a single chip [5.89]. For example, the micro- to nanoscale fabrication capabilities are used to create single-use polymer devices containing nanopillar arrays [5.90] and nanofluidic channels [5.91] for DNA separation and sequencing.

In this section we will give an overview of different fields of applications. We start with two examples of pattern-transfer applications, which are close to production: bit-patterned media for HDD, and subwavelength metal wire gratings for HDTV projectors. We then discuss a few examples of laboratory-scale potential high-impact applications of NIL. These examples were selected from a large number of NIL applications. The number of laboratory-scale NIL applications is rapidly growing, reflecting a wealth of new possible device architectures becoming feasible by NIL. Some of the applications are directly relevant for industrial production, and others are directed towards research. Even in research the nanostructuring capability of replication processes are needed. Further insight into this field is given in Sect. 5.1.2 about pattern transfer and in Sect. 5.5 about commercialization aspects of NIL.

4.2 Semiconductor Memory Chips

The semiconductor industry is currently (2016) considering NIL as possible NGL to deliver the 14 nm node and beyond for the manufacturing of advanced devices such as NAND (negative AND logic) flash memory [5.13, 5.92]. The requirements for single-substrate patterning with moderate defect density and for chip manufacturing with 50 aligned masking levels are quite different from single-layer devices. One-step patterning at 15 nm half-pitch was achieved with JFIL, eliminating the need for complicated and expensive self-aligned multiple patterning. In addition, patterns are not limited to repeating structures such as lines and spaces. For chip manufacturing not only are the resolution issue and cost of masks important, but more and more the defectivity rate, which was until now considered as prohibitive because NIL – as a mechanical contact-based technology – is prone to contamination and wear. As an example, for a 16 nm NAND flash device, a 3σ overlay of approximately 5 nm, and less than 5 defect ∕ cm2 are required at a throughput of 20 wph (wafers per hour). While NIL is here mostly considered as the replacement of individual lithographic steps (pattern transfer applications), its 3-D capability, e. g., for the dual-damascene patterning of the interconnect (metalization) layer on top of the CMOS transistors, is still an option for future devices (Sect. 5.2.7). Here a functional material is patterned, which after exposure remains as dielectric between the electroplated metal interconnects.

4.3 Bit-Patterned (Magnetic) Media (BPM ) for Hard Disk Drives

Since the first demonstration of NIL, patterned magnetic media for HDD has been a key application for NIL technology [5.93]. After invention of the HDD in 1957, the storage capacity, quantified in areal density of bits, has increased to the current (2017) level exceeding 1 Tb ∕ in2. The size and density of the individual bits, defined by local magnetization of a homogeneous, or unpatterned thin magnetic film, was reduced by application of multilayer magnetic films as recording media, the sensitivity of the read-head was increased by exploiting the giant-magnetoresistance effect in multilayer thin-film conductors [5.94], and the magnetization was applied perpendicular to the surface of the recording media, while MEMS technology for the mechanical parts has been developed to a rather extreme level. In current HDDs the read-write head flies at a height of 2−3 nm above the surface of the disk plate. An overview of HDD technology is given in [5.95].

The possibilities to increase the bit density with the current technology, where bits are written by local magnetization of an unpatterned thin magnetic film, are mainly limited by the read-write width, the positioning of the magnetic head, and by thermal instability, induced by superparamagnetism in the grains of the magnetic film. These challenges are addressed by patterning the magnetic film, first implemented by discrete track recording (GlossaryTerm

DTR

) media [5.96], where the magnetic film is patterned with a spiral land, and groove tracks have been developed to overcome the problems associated with the read-write width and positioning of the magnetic head.

The magnetic film consists of small, weakly coupled magnetic grains, which behave as single-domain magnetic particles. Each bit consists of the order of 100 grains to obtain a reasonable signal-to-noise ratio. In order to keep this ratio of grains per bit, the grain size must be reduced with bit size. Now, with BPM, it is even increased to cover a single bit. The magnetic energy of a single grain scales with the volume of the grain. This implies that the bit can be erased thermally, when the grain size becomes sufficiently small and weakly coupled to neighboring grains. This is referred to as the superparamagnetic limit.

The superparamagnetic limit can be overcome by lithographically defining each bit as a magnetic nanoparticle or nanomagnet. In such a quantized magnetic disk [5.97, 5.98] each magnetic nanoparticle is a single magnetic domain with a well-defined shape, with a uniaxial magnetic anisotropy, so the magnetization only has two possible stable states, equal in magnitude but opposite in direction, as illustrated in Fig. 5.17. Such defined bits can be thermally stable for sizes down below 10 nm.

Fig. 5.17
figure 17figure 17

Outline of a patterned magnetic disk for high-density data storage. Each bit is a lithographically defined, single-domain magnetic nanostructure, embedded in a nonmagnetic matrix (after [5.79])

The feasibility of NIL for fabrication of patterns of magnetic nanostructures for quantized magnetic disks has been investigated by several research groups as reviewed in [5.78, 5.79]; see Fig. 5.18. While extensive research has been performed by enterprises such as Western Digital, Seagate and Toshiba during the last years, introduction of BPM has been postponed.

Fig. 5.18
figure 18figure 18

Outline of the process flow for fabrication of 55 nm-diameter magnetic islands by UV-NIL. The top panel shows SEM, atomic-force microscope (AFM ) and magnetic-force microscope (MFM ) micrographs at the different stages of the process. The MFM micrograph shows quantized up and down magnetization of isolated domains (after [5.79])

4.4 Subwavelength Metal-Strip Gratings and Transparent Electrodes for Displays

Metallic wire gratings with a period below 200 nm can be used to create polarizers, polarization beam splitters and optical isolators in the visible range. Such devices have many applications in compact and integrated optics, and not the least for liquid-crystal flat panel displays.

The polarizing functionality of subwavelength wire gratings is based on form-birefringence, an optical anisotropy that appears when isotropic material is structured on a length scale much smaller than the wavelength of light, λ. In this limit, the description of light propagation based on the laws of diffraction, refraction and reflection is not valid, and a rigorous solution of Maxwell's equations with the relevant boundary conditions must be applied. For a review on subwavelength optics, see [5.99]. The subwavelength linear grating of period \(d<\lambda/2\), line width a, and height h, as illustrated in Fig. 5.19, will behave as a film of birefringent material with the refractive indices ns and np for the s-polarized (E-field parallel to the grating) and p-polarized (E-field perpendicular to the grating) light

$$\begin{aligned}\displaystyle n_{\mathrm{p}}^{2}&=\frac{d}{a}n_{1}^{2}+\left(1-\frac{d}{a}\right)n_{2}^{2}\;,\\ n_{\mathrm{s}}^{2}&=\dfrac{n_{1}^{2}n_{2}^{2}}{\frac{d}{a}n_{2}^{2}+\left(1-\frac{d}{a}\right)n_{1}^{2}}\;,\end{aligned}$$

where n1 and n2 are the refractive indices of the isotropic grating and fill materials respectively.

Fig. 5.19
figure 19figure 19

Subwavelength wire grid polarizer. By application of subwavelength gratings, with a pitch below 100 nm for visible light, first-order diffraction with a high acceptance angle and low dispersion birefringence is obtained (after [5.81])

For applications in liquid-crystal display (GlossaryTerm

LCD

) and liquid crystal on silicon (GlossaryTerm

LCoS

) projection devices, it is a key challenge to obtain a sufficiently high extinction ratio, larger than 2000, at the shorter wavelengths, i. e., for blue light (λ ≈ 450 nm). This requires a pitch d of 100 nm or smaller, which is not practical for production with conventional photolithography. Yu et al. [5.100], demonstrated a large-area (100 × 100 mm2), d = 100 nm by NIL. The stamp gratings were formed by interference lithography using an Ar-ion laser (λ = 351.1 nm) to achieve a pitch around 200 nm, which was transferred into a SiO2 film by reactive-ion etching (GlossaryTerm

RIE

). The pitch was subsequently halved by spatial frequency doubling: conformal CVD deposition of Si3N4, and anisotropic trifluormethan/oxygen (CHF3/O2) RIE. Researchers at LG electronics have realized d = 100 nm Al wire grating polarizers by thermal NIL. The process is outlined in Fig. 5.20. The large-area grating stamp is fabricated by laser interference lithography in photoresist, and transferred into the underlying 200 nm-thick SiO2 film using CF4 and O2 RIE [5.81]. The 50 × 50 mm2 devices (Fig. 5.21) have an extinction ratio over 2000 and a transmittance above 85% in the blue, at λ = 450 nm. Large-area wire grid polarizers with extinction ratios larger than 1000 were fabricated by a roll-based JFIL process [5.101].

Fig. 5.20
figure 20figure 20

Outline of the NIL process to fabricate d = 100 nm pitch aluminum wire grating polarizers

Fig. 5.21
figure 21figure 21

Large-area 100 nm pitch wire grid polarizer with 85% transmission and extinction ratio larger than 2000 at wavelength λ = 450 nm (blue light)

Metal films patterned as wire grids by nanoimprint lithography also have potential for transparent electrodes [5.102], for plasmonic devices [5.103] and the generation of plasmonic colors and color filters [5.104].

4.5 High Brightness Light-Emitting Diodes

GaN-based light-emitting diodes (LEDs) have large potential as energy efficient, long lifetime, environmentally friendly and stable light sources, and are currently entering a range of applications, such as full-color displays and projectors, traffic lights, and automotive and architectural lighting. Due to a high refractive index of the semiconductor material, the emitted light is easily trapped in waveguide modes inside the device, which strongly reduces external efficiency of the light source. The light extraction from the device can be significantly enhanced by nanopatterning the surface [5.105]. Kim et al. [5.106] applied a GlossaryTerm

2-D

photonic crystal – an array of holes – with a photonic bandgap that prohibits propagation of photons of frequencies within the bandgap, leading to an enhanced extraction of photons through the surface of the device, and demonstrated a nine-fold enhancement of the photoluminescence intensity of GaN-based green LEDs by means of 2-D PhC structures of 180 nm-diameter, 100 nm-deep holes arranged in a square lattice with a period of 295 nm. The PhC pattern was defined by thermal NIL and RIE through a Cr mask. The NIL stamp was patterned by laser interference lithography.

Apart from such PhC surface patterns, which have also have the potential to enhance the efficiency of solar cells, NIL is used to create prepatterned substrates for semiconductor film growth, e. g., patterned sapphire (PSS) [5.107], which has been widely adopted in the industry because the epitaxial quality and light extraction efficiency can be improved simultaneously. This is done by patterning a resist and etching a regular microtopography (e. g., an orthogonal pattern with about 3 μm period) into a sapphire substrate. This pattern can be transferred into sapphire by (wet or dry) etching. After etching, the rectangular shape of the resist results in square pyramids, cones or domes, with sizes of about 3 μm and 1.0−2.0 μm height, due to the crystalline orientation of sapphire. The same principle can be employed by patterning to top of vertical-cavity surface-emitting lasers (GlossaryTerm

VCSEL

) [5.68] and entire photovoltaic cells [5.108].

4.6 Polymer Optics

NIL is ideally suited for the fabrication of polymer nanophotonics and waveguide devices with submicron critical dimensions, defined over large areas. It is also compatible with many polymer materials, giving large freedom to choose a material with specific optical properties [5.109, 5.110, 5.111].

In Fig. 5.22 we show a polymer microring resonator fabricated by NIL [5.83]. This type of device has been realized in PMMA, PC (polycarbonate), and GlossaryTerm

PS

(polystyrene) on SiO2 substrates. The resonator consists of a planar waveguide and an adjacent microring waveguide. The waveguide and microring are coupled through the evanescent field in the coupling region. Resonant dips in the transmission through the waveguide occur when the phase pick-up in a trip round the microring is equal to 2πm, where m is an integer. The device works as a narrow bandwidth filter, and finds applications within integrated optics and for biosensing [5.112].

Fig. 5.22a–c
figure 22figure 22

Nanoimprinted polymer microring resonator. (a) SEM picture of the imprinted device. (b) Cross-sectional SEM picture of the polymer waveguides in the coupling region of the microring device. (c) Outline of the process flow (after [5.83])

The evanescent coupling coefficient between the waveguide and microring depend exponentially on the size of the gap. The devices are realized with 1.5 μm-high waveguides, and a coupling air-gap of 100−200 nm. The process flow is outlined in Fig. 5.22c. A thin initial polymer layer is spin cast onto a SiO2 substrate layer. The stamp has a very large fill factor and large protrusion areas, implying that a large polymer flow is needed to fill the stamp cavities. A thin residual polymer layer is obtained by combining a high imprint pressure, a high process temperature and a long imprint time. The mode confinement in the PS waveguides is enhanced by etching the substrate oxide layer isotropically in hydrofluoric acid (HF), to create a pedestal structure. The Q-factor of the resonator device depends critically on the surface scattering losses in the waveguides. The surface roughness of the polymer waveguides can be reduced by a controlled thermal reflow. The device is heated to 10−20C below the glass transition, and the surface reflows under the action of surface tension. A loss reduction of more than 70 dB ∕ cm was achieved by this approach [5.113].

Apart from integrated micro- and nano-optics, antireflection gratings and subwavelength polarizers , NIL is particularly interesting for the fabrication of polymer devices such as light guides used for backlight illumination of displays and organic solar cells. For those applications, NIL is not only an easy way to patterns surfaces, but because it provides the ability to use R2R processes for upscaling and low-cost fabrication.

4.7 Biological Applications

Micro- and nanofabrication technology has enabled methods to manipulate and probe individual molecules and cells on a chip [5.114, 5.115, 5.116, 5.117]. This type of application often requires a large area covered with nanostructures. Sometimes a large number of identical devices are needed for statistical evaluation, or to give redundancy, e. g., against clogging of nanofluidic channels . With these requirements, NIL is advantageous, or sometimes the only viable lithography method, even for laboratory-scale experiments and prototyping. Another example is devices for investigation of cell response to nanostructured surface topography, which requires nanometer-scale patterned surface areas in the mm2 to cm2 range.

Nanofluidic channels can be used to stretch DNA  [5.118, 5.119, 5.120] for high-throughput, linear analysis. It enables measuring the length L of individual DNA molecules, or possibly sequencing by detection of fluorescent labels attached to the specific DNA sequences [5.121]; see Fig. 5.23. The linear analysis relies on a uniform stretching of the DNA molecules without coiling, as they are driven through the narrow channel. This implies that the nanofluidic channel should have cross-sectional dimensions D close to or smaller than the persistence length of the DNA, Lp ≈ 50 nm [5.121]. The assumption of uniform stretching of the molecule also puts strong requirements to the channel sidewall smoothness.

Fig. 5.23a,b
figure 23figure 23

Nanofluidic device for high-throughput linear DNA analysis. (a) Microfluidic channels A–B and C–D are connected via an array of 100 nm-wide nanofluidic channels. The 5 × 1 mm2 nanofluidic channel array is defined by NIL. (b) Shows the finished device package (after [5.120])

Cell growth and adhesion can be strongly influenced by surface topography on the micrometer to nanometer length scale [5.122], and antibacterial nanotextured surfaces can be applied to minimize biofilm formation [5.123].

Gadegaard et al. [5.124] created a three-dimensional tubular scaffold for tissue engineering of blood vessels, which reproduces the basic structure of natural blood vessels: a layer of smooth muscle cells – fibroblasts – coaxially embedded between an outer collagen mesh and an inner linen of endothelial cells. Such artificially grown blood vessels with tight control of cellular attachment, migration and growth are expected to reduce problems with cellular debris and inflammation.

5 Conclusion and Outlook

Technological development is much based on so-called enabling techniques. For example, Gutenberg's book printing with movable metal letters was based on a combination of different existing techniques (large wine presses and metallurgy for letter casting), solving throughput and flexibility problems, and was developed at a time of globalization when information needed to be multiplied and spread (e. g., books, leaflets and maps) (1455 AD) [5.125]. In a similar way, a new lithographic technique with micro- and nanopatterning capability, such as NIL, is not entirely new, but based on patterning techniques coming from silicon micromachining and compact disc molding. In a time of technological dynamics it will lead to advances in different fields:

  • In research, as long as machines are affordable and reliable enough that they can replace or complement standard lithographic techniques. In the case of thermal NIL it is advantageous that nanostructures can be replicated with simple molding tools, e. g., hot presses without alignment, thus making it possible to integrate nanopatterning by NIL into device manufacturing. Standard mask aligners can be upgraded to perform UV-NIL with moderate pressures.

  • In industry, if they help to cross technological barriers, they can reduce cost and enable expansion into fields that until now have been reserved to high-volume manufacturing (GlossaryTerm

    HVM

    ). Success will also depend on whether they fit into the process chain already established in a semiconductor cleanroom environment. Furthermore, substrate sizes, throughput and yield have to correspond to production requirements. As in research, many of the machines already available can be used for moderate-scale production. They can be scaled up to substrate sizes of 300 mm and higher in combination with batch-mode operation (wafer track systems) and by combining imprint tools into clusters.

In semiconductor manufacturing, NIL is currently seen as an alternative to established high-end photolithographies, enabling the replace of single or multiple mask patterning steps by a 1X imprint step – this is mostly owing to the efforts in reducing defectivity and increasing throughput. Introduction has been postponed several times, but should be – at least for NAND memory chips – in 2017 [5.13, 5.92]. However, NIL has other capabilities, as demonstrated in Sect. 5.4, even if not all requirements of the ITRS roadmap are met at once. Most of the other applications are based on single layers covered with nanostructures, mostly regular high-resolution gratings and dot arrays, and need single-step wafer-scale replication tools for large areas. However, CoO has become the driving force for integration of new techniques, since both 193 nm DUV- and 13.6 nm EUV-lithography have been pushing the limits of photolithography towards single-digit resolution.

NIL has now passed a barrier from a laboratory scale to industrial preproduction and is now used in patterning of PSS and for optical microdevices. Although it seems that room-temperature processes based on UV exposure have an advantage over processes based on thermocycles, even after 20 yr of development it is difficult to say which process will become a standard process and make it to the production line [5.126]. For example, isothermal processes at elevated temperatures using hybrid processes have been established that use both thermal NIL and hardening by UV curing [5.127]. With state-of-the-art UV-NIL equipment [5.125], more than 20 wph with a diameter of 300 mm can now be achieved in a step-and-repeat mode (using a stamp area of 26 × 33 mm2). Single-step wafer-scale hot imprint has similar capabilities, and can even push throughput further if heatable stamps with low thermal mass are used. However, NIL is currently such a fast-moving field that preclusion about the final success of one technique is not possible and advisable. Innovative solutions are still needed to solve process and stamp lifetime issues for many different applications. Probably not just a single NIL process will be successfully implemented, but many variants of NIL. This includes hybrid approaches, e. g., NIL in combination with other lithographic processes, or the fabrication and copying of stamps using NIL.