Keywords

1 Micro-scale Energy Harvesting

1.1 Introduction

The development of integrated microprocessor chip in 1971 was a key enabler for the Personal Computer (PC) . Since then, Moore’s Law has correctly predicted the seamless technology advancement of doubled transistor count on a chip about every two years, while the transistors themselves shrunk with every generation. Additional transistors with better power consumption characteristics were utilized by component and system architects to develop advanced features in electronic platforms, and by circuit designers to build faster circuits. More integration was achieved with every technology generation, which not only pulled down system cost through reduced number of components, but also lowered overall power dissipation due to the elimination of glue-logic between components, and the fact that each transistor could be switched on/off with less power. Computer quickly stopped being an expensive machine in a laboratory reserved for privileged scientists, and by 1990s marketing segmentation drove differentiation of computer systems to address emerging usage models, making computers available to virtually everyone. Some computers evolved into mobile productivity tools that travel along with us. Some turned into entertainment centers with emphasis on multi-media performance. Some continued their evolution on traditional high performance computing with the goal to achieve more scientific computations per unit time. Another important segment was enabled through simple-task dedicated ‘small’ information engines shaping the building blocks of the progressively automated, digitized, and connected eco-system around all major application sectors such as residential, industrial, health, education, transportation, and communication. It was commonly accepted by the end of the 20th century that wireless machines and networks would have a fundamental role in intelligent system design. It has become clear ever since that 21st century is the era of internet of things (IoT) or “internet of everything ”, meaning everything from small sensors to large machines get connected. These “things” make our life easier, and increase our productivity. The information, available at our finger tips, contributes to our health, comfort, safety, education, professional development. This realization quickly leads to the need for embedded applications without batteries i.e. longevity with minimum maintenance.

Contemporary microsystems for handling information require features to dynamically trade off performance and power dissipation. Other simultaneously critical ingredients are long battery life, low energy operation, wireless communication, compact, low cost, light platforms. Due to the recent emphasis on intelligent environment , small embedded systems with very low power consumption and longevity have become vital to the exponentially growing number of ‘miniaturized and ubiquitous’ devices in the 21st century. Since good power and energy consumption characteristics have been the determinant for the success of new information engines, much engineering effort has been spent on various aspects of power management. Batteryless operation , however, continues to be a great challenge. Energy harvesting is thus introduced in this section as the means to achieve zero or close-to-zero average input power for green micro-scale IT (Information Technologies) of the future. Energy and power budgeting for such applications, the need for batteryless operation, consumption management and generation are covered in the following discussion.

1.2 Demand for Micro-scale Information Technologies

Use of IT has been proven to increase productivity in organizations for the last few decades [1]. Tendency for decentralized or distributed operations, mobile lifestyles has naturally increased the demand for IT. In a recent white paper by Cisco, tremendous growth in demand for smartphones , tablets , and other mobile computing devices is projected. Average number of connected devices per capita is projected to grow from 2 to 3.2 in the period between 2014 and 2019. Particularly, machine-to-machine (M2M) connection is the fastest growing category as depicted in Fig. 3.1 [2].

Fig. 3.1
figure 1

Global projected growth of connected devices by type [2]

The reported trends further emphasize the forecasted growth in demand for smaller or micro-scale IT devices for applications with M2M connectivity to improve quality of daily services. The largest expected growth is in connected health sector, with compound annual growth rate close to 50% between 2014 and 2019 [2]. Similarly, agriculture, construction, emergency services, and transportation (cars) sectors are expected to experience a fast growth rate in the adoption of M2M connected devices. Sensing, digitization, processing, and communication are ubiquitously embedded into everyday objects, turning them into the IoT [3]. Individual devices thus collaborate in Wireless Sensor Networks (WSNs) across a variety of contexts to provide invaluable data and information on physical phenomena. The applications of such WSNs are widespread as summarized in Fig. 3.2.

Fig. 3.2
figure 2

Application of WSNs (based on [46])

The generic WSN system architecture and requirements are outlined in Fig. 3.3. Many embedded sensors attached to the system have the most stringent power dissipation and energy requirements for sensing and transmission of data to the local HUB. Microelectromechanical system (MEMS) components may be used for miniaturization of mechanical aspects of the sensor nodes. These nodes also contain some processing features implemented in application specific integrated circuit (ASIC) components in a subset of the applications of interest. Adding to these the size and cost constraints, and potentially dynamic, unfavorably out-of-touch physical environment, the sensor node system design becomes a challenging problem. The local processing and control hub follows a pre-programmed algorithm to collect data from a variety of sensors in the neighborhood (few meters to few kilometers as typical range), and may respond by activating various actuators in the intelligent system after processing the received information. A desirable feature of the WSN is the ability to communicate with cloud or servers over the internet for remote monitoring and control. This aspect further allows remote policies and user interfaces to be devised and implemented through software for a particular WSN.

Fig. 3.3
figure 3

A generic WSN system architecture and component requirements [8]

Considering transportation, for example, use of WSNs in aeronautical vehicles is an emerging trend. More than one thousand sensor nodes may potentially be utilized in an aircraft, for automation of flight attendant control panels, passenger services, cabin illumination, surveillance cameras, safety sensors (smoke, temperature, humidity), passenger entertainment, signs and various other controls [7]. It has become increasingly difficult to reliably wire signals and power lines to and from the sensors and actuators through the tight space available within the structure of the plane. As in the case of aircrafts, many uses of WSNs require accurate processing and delivery of data from one location to another, while at the same time satisfying strict system requirements related to power source, power consumption and size.

1.3 Power and Energy Budgets

Sensor nodes are either battery driven or are required to operate on energy scavenged (harvested) from the environment. Hence, the energy budget is tight. The importance of optimizing design based on application needs cannot be stressed enough. Designs using commercial off-the-shelf (COTS) components are often disadvantaged in power consumption due to redundancy of features, and interconnect. Custom integrated designs and system-on-chip (SOC) components on the other hand can potentially deliver minimum power for the application, but typically are subject to longer design, fabrication and test lead times, and higher unit costs for low volume operations.

A typical architecture for wireless sensor nodes is depicted in Fig. 3.4. The voltage from the battery is converted to one or more voltage levels by DC/DC converter (s) to supply power to sensor(s), one or more analog-to-digital converters (ADCs) , microcontroller unit (MCU) , a memory if storage requirement is higher than what is available in the MCU, and a radio for communication of data. Local algorithm for capturing and processing the data is programmed into the MCU. Although transceiver and/or receiver circuits in the radio module are generally accepted to be the most power-hungry of all blocks, the rest of the system components, software and hardware power management features, algorithms and protocols may potentially have far greater cumulative impact on the average power dissipation and energy consumption of the node.

Fig. 3.4
figure 4

System architecture of a typical wireless sensor node [9]

Table 3.1 illustrates the power dissipation values for fundamental components and modes of the two sensor node architectures captured by a case study in 2002. Although the technology scaling in integrated circuit industry results in significant (potentially up to 2–3 orders of magnitude) reduction today in all of the provided power figures, the table is indicative of the relative spread of power consumption across modes of operation, and across different architectures. For calculation of accurate energy consumption, it is necessary to characterize the portion of the total operation time that is statistically spent in each valid sensor node power state i.e. percent residence per power state. Then the average power per sensor node can be computed for battery life estimation through weighted summation of all power levels. A comprehensive model was recently developed [10] for accurately predicting the power consumption of wireless sensor nodes across a variety of usage scenarios. It can be inferred from the table that, the variation with various power modes aside, transmitter and receiver (radio ) circuits in sensor nodes consume a significant portion of the total power budget . Low-power radios typically have a minimum power consumption of around 50 µW, for example, in order to provide sufficient sensitivity in body sensor networks [11]. In a recently reported bladder-pressure monitoring application [12], the concern was battery life , since the recharge frequency was not high. A custom application specific integrated circuit (ASIC) implant in this application achieved around 33 µW average power when enhanced with a special power control unit (PCU). When the unit was excluded, the average power exceeded 1 mW.

Table 3.1 Power analysis of (a) Rockwell’s WINS, (b) Medusa II nodes [9]

1.4 The Case for “Batteryless”

A viable energy source continues to be a challenge in wireless sensors that make up the IoT infrastructure. Although batteries have traditionally been used to power small microelectronic systems, much of recent research is focused on eliminating them from future sensor networks. Historically three parallel motivations have driven this thrust:

  1. i.

    Green Computing : Information and communication technologies (ICT) generate 2% of worldwide CO2 emissions, which roughly corresponds to a quarter of the emissions generated by cars [13]. With the unprecedented growth forecasted in ICT, as outlined in Sect. 3.1.2, this trend brings on significant concerns about the contribution of computing to global warming . There have been many emerging initiatives on energy efficiency around the globe, such as the Energystar [14], which is clearly a significant component in green computing approach. The other important component is achieving a sustainable life-cycle cost in microelectronics. An undisputed problem in this regard has been the disposal of batteries or disposal of microelectronic components that contain batteries.

  2. ii.

    Avoiding Invasive and Bulky: Implantable sensors are of high interest in biomedical field for monitoring various body functions, such as blood pressure [15], electrocardiogram (ECG), electroencephalogram (EEG), and electromyogram (EMG) [16]. However, their utility reduces significantly if they become invasive to the part of the body they are integrated in, or even cause additional patient anxiety due to bulkiness. Significant portion of the volume and intrusiveness of non-batteryless body sensor networks can be traced to on-board batteries.

  3. iii.

    Cost Reduction: Due to the cost and inconvenience or in some cases unfeasibility of regular refurbishing of batteries, the operational expenses of the WSNs increase significantly. In many embedded applications, it may even be cheaper to install a new sensor node instead of accessing the old one for maintenance and battery replacement.

1.5 Consumption Management and Generation

Due to the concomitant power resource constraints, as discussed in the previous section, management of power and energy at sensor nodes takes high priority. There are many energy saving methods to improve the working model or algorithms employed by a given sensor at the data manipulation or communication layer in order to ensure different components are activated on demand whenever there is a significant “event”. These techniques significantly reduce average power consumption, and hence the energy. A comprehensive tree of approaches that can be applied to the energy conservation problem for a particular system is outlined in Fig. 3.5.

Fig. 3.5
figure 5

Taxonomy of approaches for energy consumption management in sensor networks [17]

Duty cycling solutions emphasize management of the radio transceiver subsystem, which traditionally consumes much more energy than the processing subsystem. Distributed sleep/wake-up scheduling algorithms are applied to the radio circuits in order to turn them on when there is data to send or receive. The present-day subsystem components also support multiple power management modes, depending on “how active” they need to be in any given instant. Such component power management features will be further discussed later. Excessive data sampling unnecessarily keeps both the processor(s) and the transceivers awake causing them to burn active power. Thus, data driven techniques shown as one of the main branches in Fig. 3.5 target diminution in the amount of sampled or transmitted data, while maintaining the minimum required sensing accuracy. When the sensor nodes are static, some nodes may be on congested data paths while others may hardly receive data ‘hops’ from the surroundings. Such asymmetry in WSN may require location based management schemes. In cases when sensor nodes are dynamic, data ‘hops ’ may first detect proximity of the receiving sensors to the transmitting sensors in order to optimize power dissipated in transmission. Such algorithms are categorized under mobility-based approaches in the figure. Details of the individual energy conservation schemes in the tree will not be discussed further here, but can be accessed at the original publication [17].

Various hardware design and fabrication methods are utilized to reduce power consumption at component level, which all directly contribute to the power management of the sensor node. Different facets of power management in microelectronic computing systems are presented in Fig. 3.6. The balloon on the lower right represents the software design features described in the above paragraphs. As the rest of the picture exhaustively presents, the hardware power management design task is shared across different expertise areas. Process technology based features combine physical enhancements to semiconductors with fabrication methods to reduce static power consumption (leakage) per device for a targeted switching performance. Circuit designer s focus on effective use of transistors in order to simultaneously achieve goals related to circuit delay, dynamic and static power dissipation through various circuit design techniques. Architects use their knowledge of hardware/software interface and how different hardware blocks are expected to “behave” to optimize power at a higher abstraction level. For example, memory blocks can be put in low-leakage (e.g. low supply voltage) mode, when not in use. Clocks may be removed from blocks of processing units (clock gating) to reduce dynamic power dissipation, or power may be shut down to inactive blocks selectively to lower total power. Dynamic speed/power tradeoffs can be realized in real-time through the simultaneous modulation of supply voltage and operating system clock frequency. Integration of power electronics, such as DC/DC regulators, into the SOC reduces system cost, and allows elimination of losses in large power delivery networks. More importantly, processing engines can control supply voltage with better resolution to support advanced power management . Temperature, power, motion sensors incorporated into the electronic components or SOCs further provide tools for system level power/performance optimizations, while keeping within reliability constraints.

Fig. 3.6
figure 6

Different facets of power management [18]

Energy scavenging (a.k.a. energy harvesting), depicted at the bottom of Fig. 3.6, has recently been a fast growing area of research and development to enhance system power management characteristics, and address the issues associated with batteries covered in Sect. 3.1.4. This trend is encouraged by the ever-diminishing power and energy dissipation requirements of IoT devices with technology scaling trends. Energy harvesting is the ultimate sustainable or green solution to powering micro-scale IT systems. It involves use of constant or continually available energy sources in the vicinity of the sensor node to generate electrical power. Since such resources practically never run out, they are referred to as “renewable ”. Although traditional renewable energy sources such as sunlight and wind can and should be utilized in a variety of IoT contexts when applicable, they are often non-existent in the environment of the embedded sensors. When they do get utilized, the associated transducers need to be miniaturized to satisfy the requirements of the micro-scale IT nodes. Therefore, there are multiple system design challenges involved. Examples from appealing solutions will be discussed in the next section in the context of applications.

2 Systems and Applications

2.1 Introduction

System design issues associated with incorporating and utilizing available energy sources around intelligent embedded systems are reviewed in this section. Particularly micro-scale (solar) photovoltaic, piezoelectric, vibration based electromagnetic, thermoelectric and ambient radio-frequency (RF) wave energy will receive focus. The final section of the chapter highlights examples from emerging applications to demonstrate successful technology integration, and upcoming trends.

2.2 System Design

The goal of an ideal energy harvester, which is often referred to as a micro-power generator , is to replace the battery previously depicted in Fig. 3.4. Availability of sufficient energy at the source is an important but an insufficient condition for energy harvesting. This is due to the fact that useful power available at the micro-scale power generators typically varies between micro-Watts to tens of milli-Watts, with voltage output between tens of milli-Volts to hundreds of milli-Volts, at most, under realistic scenarios. It may take one or more power electronics stages before the power can be safely delivered to the sensor node with acceptable voltage and current characteristics.

Each stage bears losses, and inadvertently reduces overall system efficiency by some amount. For example, if the generated voltage from the harvester is in AC (alternating-current) form, it requires rectification because typical electronic loads consume DC (direct-current) power. If the harvester output impedance or resistance characteristic is far from that of an ideal supply or varies significantly with environmental conditions, then impedance matching circuit may be required to extract maximum energy. Finally, the voltage needs to be stepped-up to suitable levels for the load circuits in the target system. Integrated design approaches often combine a number of such circuits in one design to simultaneously reduce the cost and size of the interface electronics, and improve power conversion efficiency . It is challenging to design interface electronics with high efficiency, especially in cost and area constrained systems. Therefore, one needs to carefully analyze the target application , and evaluate solution space for energy harvester integration at minimum cost.

2.3 Micro-scale Harvesters

Micro-scale IT systems vary widely in volume between few cm3 to few tens of cm3, which sets the range for acceptable sizes for the energy harvesters. The energy sources in the vicinity also alter in magnitude and availability. Depending on use environment, some sensor nodes may experience motion and vibration, while others may be subject to temperature variations. A number of embedded applications may, by design, shield RF radiation, while others may be exposed to strong RF waves. Therefore, it is necessary to carefully analyze application environment and requirements before incorporating the correct energy harvesting mode. Common ambient energy sources for embedded sensors are outlined in Table 3.2, along with available power density and voltage levels at their output. Pros and cons have also been listed.

Table 3.2 Ambient energy sources and characteristics of micro-power generators

2.3.1 Photovoltaic (Solar) Energy Harvesting

In a typical photovoltaic (PV) system shown in Fig. 3.7, MPPT (Maximum Power Point Tracking) sub-system matches the input impedance of the power conditioning circuitry to the output impedance of the PV source, and the output impedance of the power conditioning circuitry to the input impedance of the load. The function of maximizing power extraction across varying temperature and irradiance conditions is also often incorporated into the MPPT. All of these features bring along the extra burden of power consumption, and only make sense when this consumption is much lower than the harvested average power. System analysis is performed on feasibility of incorporating such circuits, which often results in bare minimum power conditioning design in sensor systems without a digital controller.

Fig. 3.7
figure 7

PV harvesting system [18]

One such simplified design based on COTS is presented in [19], with robust functionality, bare-bone maximum power point (MPP) support, and up to 10% divergence from the truly optimal operating point (PV current and voltage). The energy harvesting interface circuit consumes less than 1 mW. Since no MCU or DSP (Digital Signal Processor) is utilized for MPP regulation, the system can be shut down when unused for energy savings. A small (9 mm2) pilot cell with the same characteristics as the main harvester array (112 cm2) is used to track maximum power point based on fractional open circuit voltage method as depicted in Fig. 3.8. The governing relationship in Eq. 3.1 justifies this simple approach to MPPT implementation to optimize extracted power across temperature and irradiation variations:

Fig. 3.8
figure 8

PV harvester platform with simple pilot-cell based MPPT [19]

$$V_{MPP} \approx K_{FOC} \bullet V_{OC} \approx K_{FOC} \bullet \left( {K_{PILOT} \bullet V_{PilotCell} } \right)$$
(3.1)

where, V MPP is the target voltage at maximum power point, K FOC is the fractional open circuit voltage constant, and K PilotCell is the scaling constant associated with the pilot cell reference. A supercapacitor is deployed for storage. Power conversion efficiency, using commercial LTC3401 step-up voltage regulator in the last stage (DC-DC block in Fig. 3.8), varies between 50–80% with 50 mW of power delivered to the commercial embedded sensor platform called Tmotesky.

2.3.2 Thermoelectric (Temperature Difference) Energy Harvesting

The available voltage level from thermoelectric micro-modules is low, as noted previously in Table 3.2. Recent work on integrating TE modules into WSN nodes have thus focused on developing efficient voltage step-up circuits. An integrated interface circuit in 0.35 µm CMOS technology reported by [20] boosts 35 mV input voltage from a TE module up to regulated 1.8 V output to drive a sensor node. A motion activated switch is used to mechanically assist the starting of power generation, as depicted in Fig. 3.9. This approach avoids batteries for start-up. The interface achieves 58% efficiency using external inductors for the buck converter and start-up. The existing large inductors (not shown in the figure) cannot be integrated on-chip in this design, adding incrementally to the system cost (and size). The printed circuit board (PCB) for the thermal harvesting system only occupies 7.5 cm2.

Fig. 3.9
figure 9

Thermoelectric energy harvesting system block diagram [20]

A 130 nm CMOS ultra-low power batteryless energy harvesting body sensor node (BSN) system-on-chip (SoC) was presented in [16], which acquires, processes, and transmits electrocardiogram (ECG), electromyogram (EMG), and electroencephalogram (EEG) data. Dynamic power management concepts, low voltage and subthreshold circuit techniques for low power design are applied to constrain average power dissipation to 19 µW, which is supplied by thermoelectric module and/or RF harvester. It operates at a minimum thermoelectric supply voltage of 30 mV. The design, depicted at high level in Fig. 3.10, is a first of its kind in multiple aspects, but certainly in the small power dissipation achieved for the number of features it supports. A 4 × 4 cm2 COTS thermoelectric generator (TEG) module successfully delivers the required sensor node power at room temperature when attached to the back of the neck or chest of a human being. First, the boost converter and voltage regulator together step up harvested TEG input up to a regulated 1.35 V using an off-chip capacitor and an inductor for efficient conversion. The regulator in addition provides five regulated voltage supplies and a bandgap reference to the rest of the chip. Monitored signal is processed through an analog front-end (AFE) with programmable gain and sampling range, and converted to digital domain using the analog-to-digital conversion (ADC) block. Signals as low as a few µVs are amplified with less than 4 µW power consumption per analog channel. The digital processing system that follows is implemented using subthreshold circuit design techniques, and incorporates power and clock gating as well as dynamic voltage scaling (DVS) for optimization of power dissipation. Internal voltage regulation control circuit requires 600 mV to start up, which is not available from the TEG. Wireless RF power is therefore used for kick-starting. A short RF burst of −10 dBm completes a one-time precharge of VBOOST node within few seconds after TEG output settles through a six-stage charge pump circuit. The system is able to communicate data wirelessly in 433 MHz ISM band with low (280 µW) instantaneous (burst) power consumption.

Fig. 3.10
figure 10

High level block diagram of the BSN design [16]

2.3.3 Piezoelectric (Vibration) Energy Harvesting

Piezoelectric (PZT) harvesters have high output impedance, and need an impedance matching interface in order to supply maximum power. Recent improvements to conventional full-bridge rectifier and voltage doubler interface circuits have increased the piezoelectric harvester efficiency to above 85% [21]. This is achieved through a number of circuit enhancements for efficiency. External inductor arbiter component (with value in tens of µHs), shown in Fig. 3.11, cannot be integrated on-chip in the design. On the other hand special features, such as analog multiplexers, are embodied to enable various power converters to share the same inductor for cost reduction.

Fig. 3.11
figure 11

Bias-flip rectifier based interface system for PZT harvesting with high efficiency [21]

A different approach for power conversion, named synchronous electric charge extraction (SECE) is currently researched by several groups. The Multi-shot SECE system (MS-SECE), depicted in Fig. 3.12 [22], can self-start and handle a wide range of piezoelectric power and voltage levels with the help of small off-chip components. It only consumes 1 µW at 5 Hz vibration frequency, and is suitable for low frequency motion available in daily human activity. The efficiency is reported at 61% using a 125 mm3 coupled-inductor. Storage capacitors C asic and C wsn in Fig. 3.12 are dedicated to the power supplies of the MS-SECE integrated circuit (IC) component and WSN device respectively. There is an “optimized path” controlled through the K P and K S switch transistors to implement the SECE technique. The PZT harvester is optimally discharged through the flyback circuit to C wsn . The SECE technique is based on storing charge to the primary inductor (L p ) when PZT harvester voltage reaches maximum value, and then transferring charge to secondary inductor (L s ) after a predetermined period by closing K S and opening K P . The further optimized MS-SECE technique transfers the energy from the harvester in N successive transfers instead of a single transfer (hence the name “multi-shot”). As the maximum value of the voltage v p is detected, multi-shot generator and ring-oscillator turn on to precisely control K P and K S for charge transfer. When V DDwsn reaches a predetermined threshold, Pgood_wsn signal triggers WSN block to perform a measurement or a RF transmission. The “non-optimized path”, which is used to charge C asic directly from the PZT harvester, is activated during the cold-start, and periodically when C asic voltage drops below a minimum. FSM_slow block utilizes the Pgood_asic signal from the Pgood-ASIC block and clock from the relaxation-oscillator to manage transition between optimized and non-optimized paths.

Fig. 3.12
figure 12

Autonomous piezoelectric energy harvester interface schematic [22]

An application oriented study [23] on potential of typing as energy source couples electromagnetic and piezoelectric energy harvesting from the computer keyboard strikes. A prototype demonstrates that 40.8 µW can be harvested from a single key using a micro-machined piezoelectric component, and 1.15 µW from an electromagnetic component. Another similar application oriented study [24] focuses on the potential to power a body sensor node (BSN) through piezoelectric and thermoelectric harvesters. Real time experiments on human body demonstrate generation of up to 20 µW at room temperature in a 9 cm2 area of thermoelectric generator. In addition, a 0.5 cm3 piezoelectric harvester used in this work generates 3.7 µW while running at 7 miles/h.

2.3.4 Electromagnetic (Vibration) Energy Harvesting

Another practical kinetic energy harvesting type relates to the electromagnetic principle of Faraday’s Law . A magnet is typically actuated with low frequency environmental motion within a coil to generate current. Since the coil has low output impedance, power extraction does not typically require the type of complex interfaces described in the previous section.

A novel batteryless interface was presented in a recent study [25] to be utilized in a system with low voltage electromagnetic harvesters to supply WSN nodes. The system, shown in Fig. 3.13, has an in-house 2 cm × 3.5 cm cylindrical harvester that feeds a novel rectification circuit with a passive (less efficient) first stage that powers an active AC-DC doubler with high efficiency. The first stage AC-DC converter consists of diode-connected MOSFET transistors, and doubles the input peak voltage.

Fig. 3.13
figure 13

Electromagnetic energy harvester (top), and integrated interface circuit block diagram (bottom) [25]

The active doubler gets powered by the passive circuit to deliver DC voltage to the final stage with high efficiency. The final stage consists of an integrated step-up converter built using a charge-pump. The minimum AC input peak voltage that can be rectified by the system is 125 mV. The output voltage range is 1–2.48 V. The compact and low cost solution is suitable for a number of low frequency applications. The combined rectifier stage can deliver 25 µW and 0.61 V with 67% efficiency, while the second stage can deliver higher voltages and few µWs with 13% efficiency. Charge-pumps in general are commonly used for fully integrated voltage step-up, but are low in efficiency as demonstrated in this work.

2.3.5 Ambient RF Harvesting

Wireless digital television signals are constantly broadcasted over the air in many geographies. A wireless energy harvesting prototype, named E-WEHP [26], demonstrated harvesting of sufficient power from a TV broadcast source that is 6.3 km away to sustain a 16-bit embedded microcontroller for batteryless M2M applications. The system, depicted in Fig. 3.14, uses a log-periodic antenna designed for empirically characterized 512–566 MHz frequency spectrum. A mixed L-section matching network was designed and built using discrete components and distributed transmission line elements to match the mainly capacitive impedance of the RF-to-DC charge-pump circuit to the 50 Ω impedance of the antenna.

Fig. 3.14
figure 14

E-WEHP system block diagram [26]

Energy efficiency of the system was characterized in lab by emulating a collect-first (charge/sleep) and use-later (discharge/active) mechanism through 1- and 18-MΩ resistors that represented PIC24F 16-bit embedded microcontroller power management modes. In these modes, wireless power was rectified and transferred to the charge-tank capacitor. With total channel power levels of 8.99 dBm (126 mW) that represented a location at 6.3 km from the TV source, laboratory tests on the E-WEHP yielded efficiencies between 55% and 15% for 1- and 18-M output loads across the charge-tank capacitor respectively.

3 Conclusion

Managing power consumption through traditional and new technologies enables smaller batteries and more compact microelectronic systems. In parallel, energy harvesting continues to be a pivotal research and development area in efforts to achieve batteryless operation in increased number of platforms. Micro-scale energy harvesting will enable many more aspects of intelligent living and working spaces. The reasons for the current trends have been presented in Sect. 3.1, and few selected examples have been provided in Sect. 3.2 from recent literature to demonstrate the state of the art. The upcoming developments are projected in the next paragraphs to conclude the chapter.

3.1 Upcoming Trends

A number of critical issues around sensor platforms with energy harvesting will continue to receive attention from researchers in order to enable new batteryless applications. These areas are outlined below in no particular order.

  1. i.

    Hybrid mode harvesting : Wireless sensor nodes need to support multiple harvesting modes at the same time in a hybrid configuration as depicted in Fig. 3.15 in order to extend on-board features and communication range. Examples of these have recently been noted, combining thermoelectric and RF, thermoelectric and piezoelectric, piezoelectric and RF harvesting. However, seamless implementation of a single power supply with multiple sources requires further study.

    Fig. 3.15
    figure 15

    Wireless sensor platform enabled by multiple harvesters simultaneously (based on [27])

  2. ii.

    True self-starting operation : Efforts will continue to provide self-starting operation in all systems without batteries. Many of the more efficient systems today take advantage of small batteries, or depend on a kick-starting RF signal or motion to self-start. The system goal is to start on detection of any type and amount of energy in the surroundings without requiring any special arrangement.

  3. iii.

    Integration and Efficiency : SoC integration will strive to pull in harvesters, interface circuits, and sensors into the same package, and eventually into the same semiconductor component. Elimination of all off-chip discrete components (capacitors, inductors) will receive focus. Research on integrated CMOS compatible interface circuits will hence maximize power conversion efficiency without the use of discrete components. This is required not only for miniaturization of sensor nodes, but also for reduction of cost to levels that will enable massive use of sensors in disposable or semi-disposable applications.

  4. iv.

    Improved materials: Material improvements will deliver transducers with higher intrinsic efficiency. This includes efficiency improvements in solar cells, thermoelectric modules, piezoelectric cantilevers, magnetic components, and RF antennas. Storage capacitors and super-capacitors with lower leakage will also be of major interest to reduce losses. New harvesters and harvesting modes will be researched in order to extend the harnessed frequency band for solar irradiation, vibrations, and RF waves without increasing the system size and cost.