Keywords

These keywords were added by machine and not by the authors. This process is experimental and the keywords may be updated as the learning algorithm improves.

1 Introduction and System Overview

More and more devices will be connected to the global ubiquitous information network with the Wireless World Research Forum (WWRF) [http://www.wireless-world-research.org/] vision of seven trillion wireless devices serving seven billion people by 2020. The diversity of the devices and services will increase. While the demand of high data rates to provide multimedia services, like video transmission, is increasing, the demand of low rate sensor information to enable location and context awareness of the services is also increasing.

To enable the cost, energy and bandwidth efficient realization of the vision, the transceiver and technology need to make major leaps. One of the key concerns is the overall power and energy consumption of the devices and the whole network infrastructure. The energy efficiency is major issue from battery and device operation perspective, but also relates to the sustainable development when the complete system is concerned. Therefore, in addition to more conventional target of bandwidth efficiency and increasing the data rates, also the power and energy efficiency of the evolving wireless systems is of major concern. The goal of this chapter is to introduce the key aspects of the baseband (BB) and radio frequency (RF) signal processing chains of wireless transmitters and receivers. Our emphasis is on cellular type systems, but many of the principles can be applied in various short range, wireless local area networks and other wireless applications.

The higher layers of the communication protocol stack of the Open System Interconnect (OSI) model have conventionally been designed separate from the physical layer. However, the current wireless systems are introducing more and more crosslayer design and optimization. As an example, the evolving cellular Third Generation (3G) Long Term Evolution (LTE) systems use so called channel aware user scheduling and radio resource management (RRM) techniques. The applied methodology capitalizes on signal processing tools and uses to some extent similar approach as the physical layer signal processing. However, we do not cover those either, but they are definitely important currently evolving fields of research and development. Signal processing tools are applied in wireless devices also in multimedia and application processing, data compression, etc. However, we do not cover those aspects, but concentrate on the connectivity related problems on the physical layer.

The typical transmitter (TX) and receiver (RX) functionalities are summarized in Fig. 1. The purpose of forward error control (FEC) coding and interleaving is to protect the information from errors. Data modulation transforms the information bit sequence into a complex multi-level symbol sequence with reduced sample rate and bandwidth. The waveform generation block creates a discrete-time baseband signal with specific spectral and time-domain characteristics suitable for transmission in the used frequency band and radio propagation environment. The fundamental classes of waveforms include linear and FSK-type single-carrier transmission, multicarrier transmission, as well as spread-spectrum techniques. Multiplexing and multiple-access functionalities are also closely related with waveform generation. Finally, the generated waveform is upconverted to the used RF channel and amplified to desired transmission power level. Depending on the used transmitter architecture, the upconversion can be done in multiple steps, using intermediate frequency (IF) processing stages along the way. Also, the upconversion process may be carried out at least partially in the DSP domain. In general, a digital-to-analog (D/A) converter, which acts as the interface between digital and analog front-ends, is gradually moving towards the antenna. The receiver side processing in Fig. 1b performs the opposite operations to recover the original information sequence with as little errors as possible.

Fig. 1
figure 1

Simplified wireless transceiver processing chain: (a) transmitter, (b) receiver

This chapter is organized as follows. Section 2 introduces the concepts for coding, interleaving and modulation as well as their receiver counterparts. Because receiver processing in general and equalization in particular is the more demanding task, the emphasis is on that side of the problem. One of the main capacity boosters at the physical layer is the use of multiple antennas both/either in a transmitter and/or in a receiver or so called multiple-input multiple-output (MIMO) communications; it is considered as a key example in the receiver processing. Section 3 focuses on the waveform generation and its inverse operations and it has special emphasis on multicarrier techniques which have been adopted in most of the recent and emerging broadband wireless system standards. Also the timely topic of spectrum agility, facilitating effective fragmented spectrum use, is addressed. The generation of the actual transmitted signal, using both digital signal processing and analog RF processing, is treated in Sect. 4. Because RF parts are usually the most expensive and power hungry components of a wireless device, it often makes sense to use BB processing to compensate for RF non-idealities; this is also a major topic in that section. Finally, conclusions and some further topics are discussed in Sect. 5

2 Equalization and MIMO Processing

This section focuses on the demodulation and decoding block of Fig. 1, which belongs to the most computation-intensive parts of the receiver baseband processing. We also consider the channel equalization as part of this problem. The model is simplified such that all our processing is performed on symbol rate, while the subsequent blocks of Fig. 1 perform all the higher sampling rate operations needed in radio transmission and reception. The simplified system model is depicted in Fig. 2. In other words, we focus on coding and modulation in the transmitter side and their counterpart operations in the receive end. In addition, the channel impulse response needs to be estimated, and that is considered as well.

Fig. 2
figure 2

Symbol rate system for coding, modulation, demodulation, equalization and decoding

2.1 System Model

We consider transmission of a binary information stream or data packet via bit interleaved coded modulation (BICM). The information sequence is first FEC encoded by some appropriate coding method, like block, convolutional or concatenated coding [13, 95, 107]. Parallel concatenated convolutional (PCC) or so called turbo codes [15] are among the most commonly applied codes currently. They have been adopted to 3G and LTE cellular systems, amongst others. Other popular codes include low-density parity check (LDPC) codes [46]. As shown in Fig. 2, the coded information is interleaved and modulated. The purpose of interleaving is to protect the data from bursty errors due to fading of the wireless channel. It re-organizes the order in which encoded bits are transmitted so that the consequent bits are uncorrelated. This maintains the error correction capability of the code [13, 48, 95]. Several interleaver designs exist, but we do not discuss that further. We assume any interleaving with sufficient length compared to the channel coherence time.

Multiple-input–multiple-output radio channel, i.e., multiple transmit and receive antennas [18, 48, 118] is considered. The MIMO technology can be used to boost both/either the performance (error rate) and/or data rate of a single link as well as the whole system by applying multiuser MIMO processing. We assume that the channel is frequency-flat so that no inter-symbol interference (ISI) is generated. This can be achieved, e.g., by orthogonal frequency division multiplexing (OFDM), which is commonly used in current wireless systems like in the downlink 3GPP Long Term Evolution (LTE) and its Advanced version (LTE-A) [30], wireless local loops (WLAN) 802.11a/g/n, and Worldwide Interoperability for Microwave Access (WiMAX). If ISI is generated, an equalizer is needed as is discussed later in this chapter. The channelization and different multiplexing schemes are covered in more detail in Sect. 3. Perfect time and frequency synchronization is assumed.

A MIMO transmission system with N TX and M RX antennas, where N ≤ M, is considered. This assumption is used to guarantee unique detectability of the data. We assume a linear quadrature amplitude modulation (QAM). The received signal can be described with the equation

$$\displaystyle{ \mathbf{y} = \mathbf{H}\mathbf{P}\mathbf{x} + {\boldsymbol \eta }, }$$
(1)

where \(\mathbf{x} \in {\Omega }^{\mathit{N}}\) is the vector of transmitted data symbols, \(\Omega \subset \mathbb{C}\) is a discrete set of modulation symbols, \({\boldsymbol \eta } \in {\mathbb{C}}^{\mathit{M}}\) is a vector containing identically distributed circularly symmetric complex Gaussian noise samples with variance σ 2, \(\mathbf{H} \in {\mathbb{C}}^{\mathit{M}\times \mathit{N}}\) is the channel matrix containing complex Gaussian fading coefficients, and \(\mathbf{P} \in {\mathbb{C}}^{\mathit{N}\times \mathit{N}}\) is the precoding matrix. In other words, the element at the mth row and nth column of \(\mathbf{H}\) is the complex channel coefficient between TX antenna n and RX antenna m. The precoding matrix can be used for beamforming to improve the system performance in case some degree of channel knowledge is available at the transmitter. That can be achieved by some feedback mechanism or assuming reciprocal revers channel, which may be the case in time-division duplex (TDD) systems, for example.

The modulated symbols, i.e., the entries of \(\mathbf{x}\) are drawn from a complex QAM constellation Ω with size | Ω |  = 2Q, where Q is the number of encoded bits per symbol. For example, the 16-QAM constellation would be Ω = { ( ± 3 ± j3), ( ± 3 ± j), ( ± 1 ± j3), ( ± 1 ± j)}, where j 2 =  − 1. The modulation mapping from consequent encoded and interleaved bits is typically performed by Gray mapping [95, Sect. 4.3]. We denote the bijective mapping function by ψ such that the binary encoded bit vector \(\mathbf{b}_{n} \in \{-1,+{1\}}^{Q}\) is mapped to symbol \(x_{n} =\psi (\mathbf{b})\) or \(\mathbf{x} =\psi (\mathbf{b})\), where \(\mathbf{b} = {[\mathbf{b}_{1}^{\mbox{ T}},\mathbf{b}_{2}^{\mbox{ T}},\ldots,\mathbf{b}_{N}^{\mbox{ T}}]}^{\mbox{ T}} \in \{-1,+{1\}}^{QN}\). The coded bit sequence \(\mathbf{b}\) has been obtained from the original information bit sequence via FEC encoding, whose operation depends on the applied coding scheme.

The model presented herein is a MIMO system in a frequency-flat channel with no ISI. However, the mathematical formulation can be relatively straightforwardly be generalized to cover also multipath propagation and ISI. The receiver principles and the solutions proposed below are also applicable to a large extent for such a model. The equalizer principles developed for ISI channels have been source of inspiration also for the MIMO problem and from mathematical perspective they are equivalent to a large extent.

The model above covers several MIMO configurations. It can incorporate space-time coding or transmit diversity schemes, which usually aim at increasing the diversity gain or robustness to fading [18, 48, 118]. They can similarly include spatial multiplexing (SM), wherein the key target is to increase the data rate of the transmission. From receiver signal processing perspective, which is the key topic of this chapter and best aligned on the scope of this handbook, the SM is conceptually the simplest yet very challenging. Therefore, we focus on that in most of the discussion.

SM can apply different so called layering solutions. A layer refers to a coded data stream which can be multiplexed to transmit antennas using different schemes. In horizontal layering, each stream is transmitted from different antenna, which makes the spatial separation of the streams somewhat more straightforward. Vertical layering multiplexes each stream to all transmit antennas, which enables achieving spatial diversity amongst encoded bits, but complicates the receiver processing.

In the forthcoming discussion on the receiver design in Sects. 2.22.4, we assume for the simplicity of notation that \(\mathbf{P} =\mathbf{ I}_{N}\) (where \(\mathbf{I}_{N}\) is a N ×N identity matrix), i.e., no precoding without loss of generality. If precoding is applied, we just need to replace \(\mathbf{H}\) by \(\mathbf{H}\mathbf{P}\) in the discussion below.

2.2 Optimum Detector and Decoding

The ultimate target of the receiver processing is to reproduce the true transmitted information bit sequence at the FEC decoder output. This is of course usually not perfectly possible, because of the random noise, fading, interference and other sources of distortion in the radio channel and in the communication equipment. Therefore, a pragmatic optimum receiver would minimize the probability of decoding errors given the received observation \(\mathbf{y}\) in (1). Such an approach would lead to jointly optimum decoding, demodulation and equalization, which is practically too complex to be realized [83]. This is the reason, why practical receivers are partitioned as shown in Figs. 1b and 2. Therein the equalizer and demodulator process the received signal \(\mathbf{y}\) to provide an estimate of the coded bit sequence \(\mathbf{b}\) in a form applicable for the FEC decoder, which then provides the final estimate of the information bit sequence.

If there were no FEC coding, the optimum detector would simply make a hard decision by finding the most likely transmitted data symbol vector \(\mathbf{x}\) given the observed received signal \(\mathbf{y}\), or \(\hat{\mathbf{x}}_{\mathrm{MAP}} = \mathrm{arg}\min _{\mathbf{\mathit{x}}\in {\Omega }^{N}}p(\mathbf{x}\vert \mathbf{y}),\) where p(x | y) denotes the conditional probability density (or mass) function (PDF) (depending on the context). We also assume herein that the channel matrix \(\mathbf{H}\) is perfectly known. In the receiver context p(x | y) is usually called as the a posteriori probability (APP), and the optimum detector is the maximum APP (MAP) receiver, which minimizes the average probability of symbol sequence decision error; the same principle has also been called maximum likelihood sequence estimation (MLSE) in the ISI channel context [95]. By Bayes rule \(p(\mathbf{x}\vert \mathbf{y}) = p(\mathbf{x},\mathbf{y})/p(\mathbf{y}) = p(\mathbf{y},\mathbf{x})p(\mathbf{x})/p(\mathbf{y}).\) Thus, if there is no a priori information or all the possible modulation symbols are equally likely, the maximization in the MAP sequence detector reduces to the maximum likelihood (ML) sequence detector \(\hat{\mathbf{x}}_{\mathrm{ML}} = \mathrm{arg}\min _{\mathbf{{\it \text{x}}}\in {\Omega }^{N}}p(\mathbf{y}\vert \mathbf{x}).\) In the Gaussian channel with known channel realization, \(p(\mathbf{y}\vert \mathbf{x})\) is the Gaussian PDF the ML detection reduces to finding the constellation points with the minimum Euclidean distance (ED) to the received signal vector \(\mathbf{y}\), or

$$\displaystyle{ \hat{\mathbf{x}}_{\mathrm{ML}} = \mathrm{arg}\min _{\mathbf{\mathit{x}}\in {\Omega }^{N}}\vert \vert \mathbf{y} -{\boldsymbol Hx}\vert {\vert }^{2}. }$$
(2)

The FEC decoding is assumed to be a soft-input soft-output (SfISfO) decoder [107], which is the practically pervasive choice in current wireless devices. This means that the decoder needs probability information about the coded bits to be able to calculate the corresponding most likely information bit sequence. This is usually represented as by log-likelihood ratio (LLR) value of the kth element of \(\mathbf{b}\) as

$$\displaystyle\begin{array}{rcl} L_{D}(b_{k}\vert \mathbf{y})& =& \mathrm{ln}\frac{\mathrm{Pr}(b_{k} = 1\vert \mathbf{y})} {\mathrm{Pr}(b_{k} = 0\vert \mathbf{y})} \\ & =& \mathrm{ln}(p(\mathbf{y}\vert b_{k} = 1)) -\mathrm{ln}(p(\mathbf{y}\vert b_{k} = 0)).{}\end{array}$$
(3)

If the interleaver is sufficiently long, the consequent bits become (approximately) independent of each other. In that case, the logarithm of the APP above become by the Bayes rule [59, 73]

$$\displaystyle{ L_{D}(b_{k}\vert \mathbf{y}) = L_{A}(b_{k}) +\ln \frac{\sum _{\mathbf{b}\in \mathcal{L}_{k,+1}}\exp (\Lambda (\mathbf{b},\mathbf{b}_{[k]},\mathbf{l}_{A,[k]}\vert \mathbf{y},\mathbf{H}))} {\sum _{\mathbf{b}\in \mathcal{L}_{k,-1}}\exp (\Lambda (\mathbf{b},\mathbf{b}_{[k]},\mathbf{l}_{A,[k]}\vert \mathbf{y},\mathbf{H}))}, }$$
(4)

where

$$\displaystyle{ L_{A}(b_{k}) = \mathrm{ln}\frac{\mathrm{Pr}(b_{k} = 1)} {\mathrm{Pr}(b_{k} = 0)}, }$$
(5)

is a priori information or LLR,

$$\displaystyle{ (\Lambda (\mathbf{b},\mathbf{b}_{[k]},\mathbf{l}_{A,[k]}\vert \mathbf{y},\mathbf{H})) = -\frac{1} {{2\sigma }^{2}}\vert \vert \mathbf{y} -{\boldsymbol Hx}\vert {\vert }^{2} + \frac{1} {2}\mathbf{b}_{[k]}^{T}\mathbf{l}_{ A,[k]}, }$$
(6)

\(\mathbf{b}_{[k]} \in \{-1,+{1\}}^{QN-1}\) consists of all the elements of \(\mathbf{b}\) excluding the kth one, \(\mathbf{l}_{A,[k]}\) is a vector of L A for all bits in \(\mathbf{b}_{[k]}\), and \(\mathcal{L}_{k,\beta } =\{\mathbf{ b} \in \{-1,+{1\}}^{QN}\vert \mathbf{b}_{k} =\beta \}\). The expression in (6) follows from the fact that \((\mathbf{y}\vert \mathbf{b},\mathbf{H})\) in (1) is Gaussian. Therefore, the LLR is related to the Euclidean distance metric.

The above expression is in general complex to evaluate, because the number of elements in the summation (4) is exponential in the number of spatial channels (or the number of TX antennas N) and the number of bits per symbol Q. This also implies a polynomial complexity in terms of the size of the modulation alphabet. In other words, the search of the maximum APP performed by the MAP receiver is exponentially complex. Therefore, approximations are usually needed, and those will be discussed in more detail below in Sect. 2.3. Equivalent problem has been classically considered in the context of equalizers for ISI channels [44, 95]. The idea in those is to limit the search space, while still achieving reasonably good performance.

In practical receivers, also the LLR computation is usually approximated in addition to reducing the search space. A typical approximation is to use a small look-up table and the Jacobian logarithm

$$\displaystyle{ \mathrm{jacln}(a_{1},a_{2}) := \mathrm{ln}({e}^{a_{1} } + {e}^{a_{2} }) = \mathrm{max}(a_{1},a_{2}) + \mathrm{ln}(1 + {e}^{-\vert a_{1}-a_{2}\vert }). }$$
(7)

The Jacobian logarithm in (7) can be computed without the logarithm or exponential functions by storing \(r(\vert a_{1} - a_{2}\vert )\) in a look-up table, where r(⋅) is a refinement of the approximation \(\mathrm{max}(a_{1},a_{2})\) [59].

2.3 Suboptimal Equalization

The suboptimal detector or equalizer principles are similar to those applied earlier in ISI channels [95] or in multiuser detection to mitigate multiple-access interference (MAI) [66, 130]. Among the simplest approaches is to process the received signal (1) linearly, i.e., apply linear equalizer. It can be represented as multiplying \(\mathbf{y}\) by an equalizer represented as a matrix \(\mathbf{W}\) so that the equalizer output is

$$\displaystyle{ \mathbf{y}_{EQ} =\mathbf{ W}\mathbf{y} =\mathbf{ W}\mathbf{H}\mathbf{x} +\mathbf{ W}{\boldsymbol \eta }. }$$
(8)

The simplest choice for the equalizer would be the complex conjugate transpose of the channel realization, i.e., \(\mathbf{W} =\mathbf{ {H}}^{\mbox{ H}}\), where (⋅)H denotes the complex conjugate transpose. This corresponds to the channel matched filter (MF) maximizing the signal-to-noise ratio (SNR) of each of the spatial channels with no consideration on the spatial multiplexing interference (SMI) often present in MIMO systems; in spread spectrum or code-division multiple access (CDMA), this would be called the rake receiver or conventional MF detector. The equalizer perfectly removing all the SMI is the zero-forcing (ZF) one or \(\mathbf{W} = {({\mathbf{H}}^{\mbox{ H}}\mathbf{H})}^{-1}{\mathbf{H}}^{\mathrm{H}}\), which is the pseudo-inverse of the channel realization yielding the linear least squares estimate of the transmitted symbol vector \(\mathbf{x}\). It completely removes all the SMI, but it has the commonly known drawback of noise enhancement. In other words, it can be seen as maximizing signal-to-interference ratio (SIR) with no consideration on the noise; in the CDMA context this is often called as decorrelator. Finally, the linear minimum mean square error (LMMSE) equalizer

$$\displaystyle{ \mathbf{W} =\mathbf{ B}{({\mathbf{H}}^{\mbox{ H}}\mathbf{H} +{ \sigma }^{2}\mathbf{I}_{ M})}^{-1}{\mathbf{H}}^{\mathrm{H}} }$$
(9)

makes a controlled compromise by jointly minimizing the impact of both noise and SMI or ISI. For the Wiener filter or the actual LMMSE equalizer \(\mathbf{B} =\mathbf{ I}\), but its output is in general biased, because its expected output is a scaled version of \(\mathbf{x}\), not \(\mathbf{x}\) itself. The bias can be removed by the choice \(\mathbf{B} = \mbox{ diag}[\mbox{ diag}{({({\mathbf{H}}^{\mbox{ H}}\mathbf{H} +{ \sigma }^{2}\mathbf{I}_{M})}^{-1}{\mathbf{H}}^{\mathrm{H}})}^{-1}]\). In that case, the mth diagonal element of \(\mathbf{B}\) becomes [25] \(B_{m,m} = (\rho _{m} + 1)/\rho _{m},\) where the signal-to-interference-plus-noise ratio (SINR) per stream is

$$\displaystyle{ \rho _{m} = \frac{1} {{\sigma }^{2}[{(\mathbf{{H}}^{\mbox{ H}}\mathbf{H} +{ \sigma }^{2}\mathbf{I}_{M})}^{-1}]_{m,m}} - 1. }$$
(10)

This scaled version of the LMMSE equalizer maximizes the SINR with some penalty in mean square error (MSE) [55, 118].

Calculating the soft output for the FEC decoder from the linear equalizer output requires some further attention. Because linear processing maintains sufficient statistics, the optimum MAP detection would remain equally complex as above. However, there are reasonably good simplified approximations of the LLR for BICM. One efficient method has been presented in [25]. It reduces complexity and latency with only a minor impact on performance. Instead of calculating the Euclidean distance between the LMMSE equalizer output and all the possible transmitted symbols, Gray labeling of the signal points is exploited therein. The LLR bit-metric \(\hat{L}({b}^{\xi }\vert \mathbf{y}_{EQ},\mathbf{W})\) for bit b ξ (where ξ is an integer) can be approximated as \(\rho _{k}\Xi ({b}^{\xi },\mathbf{y}_{EQ})\), where

$$\displaystyle{ \Xi ({b}^{\xi },\mathbf{y}_{EQ}) =\min _{\tilde{x}_{k}\in \mathcal{X}_{k,\xi }^{0}}\vert y_{EQ,k} -\tilde{ x}_{k}{\vert }^{2} -\min _{\tilde{ x}_{k}\in \mathcal{X}_{k,\xi }^{1}}\vert y_{EQ,k} -\tilde{ x}_{k}{\vert }^{2}, }$$
(11)

where k = ⌊ξ ∕ Q⌋ + 1, \(\mathcal{X} =\{ x_{k} : {b}^{\xi } = i\}\) is the subset of hypersymbols \(\{x\}\) for which the ξth bit of label b is i. \(\Xi ({b}^{\xi },\mathbf{y}_{EQ})\) can be simplified by considering y E Q, k in only one quadrature dimension given by ξ [25].

Decision-feedback equalization (DFE) is a classic alternative to linear processing to improve the performance both under ISI or MAI. One version is based on successive interference cancellation (SIC) and linear MMSE equalization. It was proposed in the early MIMO communication proposals known as Bell Labs layered space-time (BLAST) scheme [134]. It is best applicable for horizontally layered spatial multiplexing, because then the layers align on physical channels transmitted from a transmit antenna. The received layers are ordered with respect to their SNR or received power level. The strongest signal is detected and decoded first so that the SMI it suffers from the weaker ones is suppressed by a linear equalizer, which is typically based on MMSE or maximum SINR (9) criterion. The interference it causes to the other streams is estimated based on the decoded data and subtracted from them. Then the second strongest signal is similarly detected, decoded and canceled from the remaining signals and so on. This also is called successive nulling and interference cancellation. The decoding requires deinterleaving, which imposes latency to the processing.

The weight matrix is calculated with the LMMSE rule as in (9). The layer for detection is chosen according to the post-detection SINR and the corresponding nulling vector is chosen from the weight matrix \(\mathbf{W}\) [134]. All the weight matrices in an OFDM symbol are calculated and the layer to be detected is chosen according to the average over all the subcarriers. After the first iteration, the canceled symbol expectation is used to update the weight matrix. The weight matrix for the second layer to be canceled is calculated as

$$\displaystyle{ \mathbf{W} = {(E\{x\}E\{{x\}}^{{\ast}}\mathbf{h}_{ k}\mathbf{h}_{k}^{\mathrm{H}} + \mathbf{H}_{ k}(\mathbf{I} - (E\{x\}E\{{x\}}^{{\ast}})\mathbf{H}_{ k}^{\mathrm{H}} +{ \sigma }^{2}\mathbf{I}_{ M}))}^{-1}\mathbf{h}_{ k}^{\mathrm{H}}, }$$
(12)

where \(\mathbf{h}_{k}\) is the kth vector from matrix \(\mathbf{H}\), k is the layer to be detected, \(\mathbf{H}_{k}\) is matrix \(\mathbf{H}\) with the vectors from previously detected layers removed and E{x} is the symbol expectation.

The detected layer is decoded and symbol expectations from the soft decoder outputs can be calculated as [120]

$$\displaystyle{ E\{x\} = {(\frac{1} {2})}^{Q}\sum _{ x_{l}\in \Omega }x_{l}\prod _{i=1}^{Q}(1 + b_{ i,l}\mathrm{tanh}(L_{A}(b_{i})/2)), }$$
(13)

where \(L_{A}(b_{i})\) are the LLRs of coded bits corresponding to x and b i, l are bits corresponding to constellation point x l . The expectation calculation in (13) can be simplified to the form

$$\displaystyle{ E\{x\}_{\mathrm{re}} =\mathrm{ sgn}(L_{A}(b_{i}))S\mathrm{\vert }\mathrm{tanh}(L_{A}(b_{i+2}))\vert. }$$
(14)

The constellation point S is chosen from {1,3,5,7} depending on the signs of \(L_{A}(b_{i+1})\) and \(L_{A}(b_{i+2})\).

In addition to the linear and decision-feedback based equalization, there are also several other suboptimal equalizers, e.g., based on various tree-search approaches. One of the most popular ones is the concept of sphere detector (SD). Another closely related one is a selective spanning with fast enumeration (SSFE) [78]. In the case of transmission with no FEC coding, a SD calculates the ML solution by taking into account only the lattice points that are inside a sphere of a given radius [31, 43],

$$\displaystyle{ \vert \vert \mathbf{y} -{\boldsymbol Hx}\vert {\vert }^{2} \leq C_{ 0}. }$$
(15)

After QR decomposition (QRD) of the channel matrix \(\mathbf{H}\) in (15), it can be rewritten as

$$\displaystyle{ \vert \vert \mathbf{y}^{\prime} -{\boldsymbol Rx}\vert {\vert }^{2} \leq C_{ 0}^{^{\prime}}, }$$
(16)

where \(C_{0}^{^{\prime}} = C_{0} -\vert \vert {(\mathbf{Q}^{\prime})}^{\mathrm{H}}\mathbf{y}\vert {\vert }^{2}\), \(\mathbf{y}^{\prime} ={ \mathbf{Q}}^{\mathrm{H}}\mathbf{y}\), \(\mathbf{R} \in {\mathbb{C}}^{\mathit{N}\times \mathit{N}}\) is an upper triangular matrix with positive diagonal elements, \(\mathbf{Q} \in {\mathbb{C}}^{\mathit{M}\times \mathit{N}}\) and \(\mathbf{Q}^{\prime} \in {\mathbb{C}}^{\mathit{M}\times (\mathit{M}-\mathit{N})}\) are orthogonal matrices.

The squared partial Euclidean distance (PED) of \(\mathbf{x}_{i}^{\mathit{N}}\), i.e., the square of the distance between the partial candidate symbol vector and the partial received vector, can be calculated as

$$\displaystyle{ d(\mathbf{x}_{i}^{\mathit{N}}) =\sum _{ j=i}^{\mathit{N}}{\left \vert y_{ j}^{^{\prime}} -\sum _{ l=j}^{\mathit{N}}r_{ j,l}x_{l}\right \vert }^{2}, }$$
(17)

where i = N …, 1 and \(\mathbf{x}_{i}^{\mathit{N}}\) denotes the last N − i + 1 components of vector \(\mathbf{x}\) [31].

In the presence of FEC coding, the SD must be modified to provide an appropriate soft output to approximate the MAP detector. A list sphere detector (LSD) [59] is capable of doing that by providing a list \(\mathcal{L}\) of candidates and their APP or LLR values of the coded bits in \(\mathbf{b}\) to the FEC decoder. There are different strategies to perform the search of the potential candidates. Most of them have been originally proposed for the conventional sphere detector and then subsequently generalized for the LSD version. The breadth-first tree search based K-best LSD algorithm [49, 107, 135] is a variant of the well known M algorithm [5, 64]. It keeps the K nodes which have the smallest accumulated Euclidean distances at each level. If the PED is larger than the squared sphere radius C 0, the corresponding node will not be expanded. We assume no sphere constraint or C 0 = , but set the value for K instead, as is common with the K-best algorithms. The depth-first [111] and metric-first [89] sphere detectors have a closer to optimal search strategy and achieve a lower bit error rate than the breadth-first detector. However, the K-best LSD has received significant attention, because it can be easily pipelined and parallelized and provides a fixed detection rate. The breadth-first K-best LSD can also be more easily implemented and provide the high and constant detection rates required in the LTE.

In the discussion above, we have assumed mostly one-pass type receiver processing. In other words, equalization/detection and channel estimation are performed first. The detector soft output is then forwarded to the FEC decoder where the final data decisions are made. However, the performance can be enhanced by iterative information processing based on so called turbo principle [1, 2, 51], originating from the concept of parallel (or serial) concatenated convolutional codes often known as turbo codes [15, 16, 107]. This means that the feedback from FEC decoder to the equalizer as shown in Fig. 2 is applied. Therein, the decoder output extrinsic LLR value is used as a priori LLR value in the second equalization iteration [138]. This typically improves the performance at the cost of increased latency and complexity [73]. Because the decoder is also usually iterative, the arrangement results in multiple iterations, i.e., local iterations within the (turbo type) decoder and global iterations between the equalizer and decoder. The useful number of iterations is usually determined by computer simulations or semianalytical study of the iteration performance.

2.4 Channel Estimation

The discussion above assumes that the channel realization or the matrix \(\mathbf{H}\) is perfectly known, which is the basic assumption in coherent receivers. Therefore, channel estimation needs to be performed. This is usually based on transmitting reference or pilot symbols known by the receiver [20]. By removing their impact, the received signal reduces to the unknown channel realization and additive Gaussian noise. Classical or Bayesian estimation framework [69, 106] can be then applied to estimate the channel realization. The channel time and frequency selectivity and other propagation phenomena need to be appropriately modeled to create a realistic channel model and corresponding estimation framework [93]. If orthogonal frequency-division multiplexing (OFDM) [52] is assumed, the frequency-selectivity of the channel can be handled very efficiently. This is a benefit from the equalizer complexity perspective.

It should be noted here that the assumption of no precoding makes channel estimation different to the case with precoding. Precoding optimization is typically based on the channel state, and in that sense to the channel estimate. Therefore, there are two options to deal with this case. The channel estimate is usually based on pilot or reference signals, which may either be similarly precoded as the data symbols or not precoded.

The system model for the channel estimation for an OFDM based MIMO transmission system is defined below. The received signal vector \(\mathbf{y}(n)\) on the m R th receive antenna at discrete time index n after the discrete Fourier transform (DFT) can be described as

$$\displaystyle{ \underline{\mathbf{y}}_{m_{R}}(n) = \mathbf{X}(n)\mathbf{F}\mathbf{h}_{m_{R}}(n) + \mathbf{w}_{m_{R}}(n), }$$
(18)

where \(\mathbf{X} = [\mathbf{X}_{1},\ldots,\mathbf{X}_{N}] \in {\mathbb{C}}^{\mathit{P}\times \mathit{PN}}\) is the transmitted signal over P subcarriers, \(\mathbf{w}_{m_{R}} \in {\mathbb{C}}^{\mathit{P}\times \mathit{1}}\) contains identically distributed complex white Gaussian noise, \(\mathbf{F}\) is a N P ×N L matrix from the DFT matrix with \([\mathbf{F}]_{u,s} = \frac{1} {\sqrt{P}}{e}^{-j2\pi us/P}\), u = 0, , P − 1, s = 0, , L − 1, L is the length of the channel impulse response and \(\mathbf{h}_{m_{R}}\) is the time domain channel vector. \(\mathbf{X}_{m_{T}} \in {\mathbb{C}}^{P\times P}\) is a diagonal matrix with entries from a complex quadrature amplitude modulation (QAM) constellation Ω and | Ω |  = 2Q, where Q is the number of bits per symbol and m T  = 1, , N and m R  = 1, , M.

The reference signal or pilot symbol positions in 3GPP Long Term Evolution (LTE) resource blocks are illustrated in Fig. 3, where the downlink slot consist of 7 OFDM symbols [47]. Each OFDM symbol consists of 12 resource elements. Reference signals are transmitted in the first, second and fifth OFDM symbols. Nothing is transmitted on the other antenna ports when a reference signal is transmitted on one antenna port. The pilot overhead, in terms of the portion of data symbols in time or frequency used for training, is in the 2 ×2 MIMO roughly 9.5 % and in the 4 ×4 MIMO 14 %. With 8 ×8 MIMO the pilot overhead could be close to 30 % [11].

Fig. 3
figure 3

Pilot symbol spacing in LTE standard for 2 ×2 MIMO channel

The least-squares (LS) channel estimator based on training symbols is probably the simplest one to calculate the channel estimates from pilot symbols. The received symbol vector is often transformed into frequency domain before the LS channel estimation. The result of the LS estimator, on the other hand, is in time domain in the formulation below and it has to be transformed into frequency domain for the detector. The LS estimate of the channel can be calculated as

$$\displaystyle{ \hat{\mathbf{h}}_{m_{R}}(n) = {({\mathbf{F}}^{H}{\mathbf{X}}^{H}(n)\mathbf{X}(n)\mathbf{F})}^{-1}{\mathbf{F}}^{H}{\mathbf{X}}^{H}(n)\underline{\mathbf{y}}_{ m_{R}}(n), }$$
(19)

where \(\mathbf{X}\) contains the pilot symbols, which are known by the receiver. Because of that, the matrix inverse can be pre-computed and stored in a memory. Usually orthogonal (in time or frequency) training sequences or a diagonal matrix \(\mathbf{X}\) are used such that there is no SMI in the channel estimate. The performance of the LS estimator can be improved by applying the Bayesian philosophy, i.e., by using the channel statistics to optimize the channel estimation filtering in frequency, spatial or temporal domain [84].

The reference signals or pilot symbols used in channel estimation are placed in the OFDM time-frequency grid at certain intervals. The interval may not be sufficiently short when the user velocity is high and the channel is fast fading. Furthermore, the pilot overhead increases with the number of MIMO streams. It becomes problematic already in the 4 ×4 antenna system and is significant (almost 30 %) with an 8 ×8 system [11]. Decision directed (DD) channel estimation can be used to improve the performance or to reduce the pilot overhead. This can also be based on the same principle as the pilot based LS estimate (19), such that matrix \(\mathbf{X}\) now includes the data decisions. However, this increases the complexity, because the matrix inverse must be computed now in real-time [139]. Typically this is realized in the form of iterative receivers. The principle therein is similar to the one in Sect. 2.3 with the iterative detection—decoding, while now we have in general three blocks for the global iterations, namely, detection—decoding—channel estimation. This framework has been analyzed in detail, e.g., in [61, 75, 137, 138]. Several approaches are based on expectation-maximization (EM) algorithm [33, 82] or space-alternating generalized EM (SAGE) algorithm [40]. A the resulting receiver structure is illustrated in Fig. 4.

Fig. 4
figure 4

Decision-directed channel estimation in MIMO receiver

3 Multicarrier Waveforms

Referring to Fig. 1, this section addresses the waveform generation function on the transmitter side, as well as the corresponding block on the receiver side.

3.1 Waveform Processing in OFDM Systems

The coding and modulation block produces a sequence of typically QAM modulated symbols, and the purpose of the waveform generation block is to produce a digital sample sequence which corresponds to the discrete-time baseband version of the final RF signal to be transmitted. Likewise, on the receiver side the waveform processing block receives the corresponding digital sample sequence, but effected by additive noise and interferences as well as various distortion effects, and produces a sample sequence corresponding to the QAM modulated symbol sequence at the coding & modulation block output.

In today’s wireless communication system, various waveforms are utilized including linear single carrier modulation, i.e., QAM-type symbol sequence with Nyquist pulse shaping, Gaussian minimum shift keying (GMSK), and various types of spread-spectrum techniques, including direct sequence (DS) spread-spectrum with code-division multiple access (CDMA) [13, 118]. However, we focus here on the celebrated multicarrier transmission technique called orthogonal frequency-division multiplexing (OFDM) [17, 76, 91, 96, 132], which is the basis for most of the recent and emerging high-data-rate wireless systems, including 802.11a/g/n WLAN, DVB-T/H, WiMAX and 3GPP-LTE.

3.1.1 OFDM Principle

A fundamental issue in wireless communications with increasing data rates is the complexity of the channel equalization. Channel equalization is needed in practically all wireless communication systems for compensating the effects of the multipath propagation channel, which appears as frequency dependency (frequency-selectivity) of the channel response experienced by the transmitted waveform. More importantly, this effect introduces dispersion to the symbol pulses which appears as inter-symbol interference (ISI), and eventually as errors in detecting the transmitted symbol values [13]. Traditional time-domain techniques for channel equalization, based on adaptive filtering or maximum likelihood sequence detection, would have prohibitive complexity at the signal bandwidths adopted in many of the recent communication standards.

As illustrated in Fig. 5, OFDM solves the problem by splitting the high-rate symbol sequence into a high number (N) of lower-rate sequences which are transmitted in parallel, over a spectrally compact multiplex of orthogonal subchannels. Due to the increased symbol interval in the subchannels, the effects of channel dispersion are reduced, and the channel frequency response within each subchannel is, at most, mildly frequency selective. Furthermore, a cyclic prefix (CP) is commonly inserted in front of each OFDM symbol. The idea of CP is that it will absorb the variations in the delays of different multipath components of the channel, preventing ISI if the length of the CP is at least equal to the maximum delay spread of the channel. In this case, the effect of the channel can be modeled as a cyclic convolution. Consequently, the channel effect can be precisely modeled as flat fading at subcarrier level, and can be compensated by a single complex multiplication for each data symbol modulated to a subcarrier [91].

Fig. 5
figure 5

(a) Basic OFDM transmission chain. (b) Effect of channel frequency selectivity. (c) Effect of multipath delays not exceeding the channel delay spread in CP-OFDM

In existing specifications, the FFT size of OFDM systems ranges from 64 in IEEE 802.11a/g WLAN to 32k in DVB-T2 [128]. The subcarrier spacings range, correspondingly, from 325 kHz to 279 Hz. As an important example, 3GPP-LTE uses 15 kHz subcarrier spacing and up to 20 MHz bandwidth, the maximum FFT-size being 2k [30, 117].

The practical implementation of OFDM utilizes inverse fast Fourier transform (IFFT) for multiplexing each block of parallel data symbols. Correspondingly, FFT is used for demultiplexing the block of complex sample values corresponding to the data symbols. Orthogonality of the subchannels follows directly from the properties of discrete Fourier transform (DFT). In the channel, each data symbols appears as a square-windowed sinusoid, the frequency of which is determined by the subcarrier index and amplitude and phase are determined by the transmitted complex symbol value. Using continuous-time model, the transmitter and receiver OFDM waveform processing can be formulated as follows.

An OFDM symbol with IFFT size of N and duration of T s is given by

$$\displaystyle{ x(t) =\sum _{ k=0}^{N-1}X(k){e}^{j2\pi f_{k}t},\ \ t \in [0,\:T_{ s}] }$$
(20)

where X(k), k = 0, , N − 1, are complex data symbols, typically from a QAM alphabet,

$$\displaystyle{ f_{k} = f_{0} + k \cdot \Delta f }$$
(21)

are the subcarrier frequencies and

$$\displaystyle{ \Delta f = \frac{1} {T_{s}} }$$
(22)

is the frequency separation between subcarriers. With this choice, the subcarriers are orthogonal, i.e.,

$$\displaystyle{ \frac{1} {T_{s}}\int _{0}^{T_{s} }{e}^{j2\pi f_{l}t}{e}^{-j2\pi f_{k}t}dt =\delta _{ kl} = \left \{\begin{array}{@{}l@{\quad }l@{}} 1,\quad &k = l \\ 0,\quad &\text{otherwise} \end{array} \right. }$$
(23)

Therefore in the absence of noise and other imperfections, the kth symbol is demodulated as

$$\displaystyle{ \frac{1} {T_{s}}\int _{0}^{T_{s} }x(t){e}^{-j2\pi f_{k}t}dt = \frac{1} {T_{s}}\int _{0}^{T_{s} }\sum _{l=0}^{N-1}X(l){e}^{j2\pi f_{l}t}{e}^{-j2\pi f_{k}t}dt = X(k). }$$
(24)

In practical systems, guard-bands are introduced in the OFDM signal spectrum by modulating zero-valued symbols to the subcarriers close to the band edges. The images of the OFDM signal generated by the IFFT (centered at integer multiples of the frequency NΔ f) need to be filtered away by the later stages in the transmitter chain, and the filtering requirements depend essentially on the width of the guard-band. Similarly, the guard-band width affects also the specifications of the channelization filtering on the receiver side.

The signal path of an OFDM transmission link, as illustrated in Fig. 5a, includes on the transmitter side the IFFT for a block of data symbols and copying a number of IFFT output samples in front of the produced OFDM symbol as a cyclic prefix, along with the needed buffering and serial–parallel and parallel–serial operations. On the receiver side, the core functions include extracting a block of N ISI-free samples from the baseband sample sequence, FFT, and 1-tap subcarrier-wise equalizers. Additionally, a channel estimation function, usually based on known subcarrier symbols (scattered pilots and/or preambles) is needed, as described in Sect. 2.4. Also time and frequency synchronization functionalities are necessary in OFDM, as in any communication link [96].

3.1.2 Synchronization and Channel Coding Aspects

The coarse time synchronization, i.e., determination of the optimum FFT window location, is commonly based on the correlation introduced to the signal by the cyclic prefixes. Residual timing offsets can be estimated using the pilot sequences and compensated by adjusting the channel equalizer coefficients accordingly. Various techniques are available in the literature for estimating the coarse frequency offsets, due to imprecise local oscillators in the transmission link. Fine frequency estimation can again be carried out using the pilots [96].

Due to the narrow spacing of subcarriers (e.g., 1 kHz in DVB-T and 15 kHz in 3GPP-LTE), OFDM systems are quite sensitive to carrier frequency offset, the target values being at the order of ± 1 % of the subcarrier spacing, or less. This makes OFDM systems rather sensitive to fast-fading channels, and even to phase noise of the local oscillators. In general, these effects introduce inter-carrier interference, ICI.

Since OFDM is meant to be used with frequency/time-selective channels, some of the subcarrier symbols are bound to experience severe attenuation in the transmission channel, and the corresponding information bits would be lost in symbol-wise detection. In general, the channel gain for each subcarrier symbol depends on the instantaneous channel frequency response during the transmission. On the other hand, the whole OFDM multiplex has usually wide bandwidth compared to the channel coherence bandwidth, i.e., the channel appears as heavily frequency selective. While some of the subcarrier symbols are lost, a majority of them is received with good quality. Using FEC, the average bit-error rate (BER) or frame error rate (FER) achieves a targeted low value, in spite of some of the symbols being lost. Thus FEC is an essential element on OFDM systems, helping to exploit the inherent frequency diversity of the wideband transmission channel, and sometimes the scheme is referred to as coded OFDM, COFDM [76].

The different subcarrier symbols in OFDM are transmitted independently of each other, through orthogonal subchannels. Then it is obvious that a single OFDM symbol is able to carry multiple users’ data, using so-called orthogonal frequency division multiple access (OFDMA) [30, 117]. In the downlink direction (from base-station, BS, to mobile stations, MS) this is quite straightforward. In the uplink direction, a BS receives a multiplex of subcarriers composed of subcarriers originating from different transmitters. In order to maintain orthogonality, so-called quasi-synchronous operation must be established. This means that the MS’s must be precisely synchronized in frequency (say ± 1 % of subcarrier spacing), and different mobiles’ OFDM symbols must be time-aligned in such a way that the cyclic prefix is able to absorb both the channel delay spread and relative timing offsets between different MS’s, as illustrated in Fig. 5c. Additionally, effective power control is needed to avoid excessive differences in the power levels of the received signals, thus avoiding serious problems due to RF impairments.

The practical OFDMA schemes are dynamic in the sense that variable data rates can be supported for each user. To achieve this, the BS must send side information to each MS about the set of subcarrier symbols allocated to each user, both for uplink and downlink. To keep the amount of side information reasonable, the allocation is commonly done using a resource block as the basic unit. For example in 3GPP-LTE, the resource block consists of 12 subcarriers and 7 consecutive symbols (this for the most commonly used transmission mode; there are also others) [117].

The basic form of OFDM systems uses the same modulation (e.g., QPSK/16QAM, 64QAM) and code rate for a subcarriers and OFDM symbols. The specifications are usually flexible, and allow the configuration of the system for different tradeoffs between data rate and robustness through the choice of modulation level and code rate. In broadcast systems, this is the scheme that has to be followed as it is not possible to tailor the transmission parameters separately for different users. However, in two-way communication, like cellular mobile systems and wireless local area networks (WLANs), it is possible to provide feedback information to the transmitter end about the channel quality and characteristics. If the transmitter has knowledge of the signal-to-interference-plus-noise (SINR) of each subcarrier, then in basic OFDM, the water-filling principle can be used for determining the optimal modulation level for each subcarrier. In OFDMA, the feedback information can also be used for allocating resource blocks optimally for the users based on the instantaneous channel response and quality (including various interferences) experienced by each user at each specific frequency slot. Furthermore, the modulation level and code rate can be tuned independently for each user to optimize the usage of transmission resources. This scheme is generally known as adaptive modulation and coding (AMC) [30, 117].

3.2 Enhanced Multicarrier Waveforms

OFDM solves in an elegant and robust way the fundamental channel equalization problem in wideband wireless communications, and it provides efficient means for channel aware scheduling of the transmission resources in an optimal way to different users. Due to the flat-fading channel characteristics, CP-OFDM is also an excellent basis for different multi-antenna (MIMO) techniques which are able to enhance the performance at link and system levels [30]. However, OFDM has also a number of limitations, which have motivated research on various enhancements as well as on alternative waveforms.

3.2.1 Peak-to-Average Power Ratio Issues and SC-FDMA

OFDM, and multicarrier waveforms in general, have the problem of high crest factor or peak-to-average power ratio (PAPR). This means that the peak envelope value of the modulated waveform is much higher than the RMS value, which introduces great challenges to the transmitter power amplifier implementation as a highly linear power amplifier is needed [96]. Why the PAPR becomes high can be easily seen when we consider the OFDM signal as a sum of sinusoids with amplitudes and phases determined by the modulating symbol values. In the worst case, the amplitudes add up at some point within the OFDM symbol interval, and the PAPR is proportional to the number of active subcarriers. However, the probability of such a worst-case situation is in practice very small, and the PAPR characteristics of a waveform are better characterized by the complementary cumulative distribution function (see Fig. 7 for an example). Various techniques for reducing the PAPR of OFDM-modulated signals can be found from the literature [65, 96]. This problem is common with CDMA waveforms, and also various generic methods for reducing PAPR have also been developed, e.g., based on envelope peak clipping with smooth widowing [121].

Mainly due to the PAPR problem, single-carrier waveform has been revived in the OFDM context, in the form of so-called single-carrier frequency division multiple access (SC-FDMA) [30, 90, 117]. As shown, in Fig. 6, using DFT transform as precoding, a SC-FDMA block can be included in an OFDMA transmission frame while maintaining all the flexibility in allocation the resources to each user. The cascade of DFT and IFFT transforms (also referred to as DFT-spread-OFDMFootnote 1) in the transmitter side effectively provides frequency shift of the single carrier symbol block to the frequency slot corresponding to the allocated subcarriers, as well as time-domain interpolation and rudimentary pulse shaping for the symbol pulses. With this model in mind, it is clear that accumulation of high PAPR does not take place in this process. However, while the pulse shaping provided by the DFT-spread-OFDM processing satisfies the Nyquist criteria for zero ISI, the pulse shaping is sub-optimal and has small excess bandwidth. This leads to relatively high PAPR for SC-modulation, yet significantly smaller than in OFDM, as illustrated in Fig. 7. On the other hand, good spectral efficiency is achieved as different SC-FDMA blocks can be allocated next to each other without any guard-band in-between, as long as the conditions for quasi-stationarity are maintained. Since the high PAPR of OFDM is mainly a problem on the mobile side, the SC-FDMA scheme is mainly considered for uplink transmission. An alternative implementation structure has been developed in [63], with additional flexibility for the DFT block size.

Fig. 6
figure 6

SC-FDMA transmission link

Fig. 7
figure 7

Complementary cumulative distribution functions for the PAPR of OFDM, SC-FDMA, and single-carrier waveforms with different excess bandwidths. QPSK modulation, 160 subcarriers in OFDM and SC-FDMA

What was described above is the so-called contiguous subcarrier allocation case of SC-FDMA. Also a uniformly interleaved subcarrier allocation is possible, without any effects on the PAPR,Footnote 2 but has not been adopted in practice due to increased sensitivity to time selectivity, frequency offsets, and phase noise.

From the channel equalization point of view, the channel estimation and equalizer structure is the same as in the core OFDM system, except that scattered pilots cannot be utilized in SC-FDMA. From the SC-modulation point of view, the single-tap subcarrier equalizers correspond to a frequency-domain implementation of a linear equalizer [36, 105]. The MSE criterion is preferred over zero-forcing solution to reduce the noise enhancement effects. The linear equalizer can be complemented with a decision-feedback structure. The noise prediction based DFE principle is particularly suitable for this configuration [14, 144], and including the FEC decoding in the DFE feedback loop leads to an effective iterative receiver structure with significantly improved performance over the linear equalizer solution.

Since SC-FDMA is based on a core OFDM system, various multiantenna schemes can be combined with it, including space-time and space-frequency block coding and spatial multiplexing [30, 117].

3.2.2 Enhancing Spectral Containment

OFDM systems maintain orthogonality between spectral components which are synchronized in time and frequency to satisfy the quasi-stationarity conditions. However, the spectral containment of the OFDM waveform is far from ideal (see Fig. 8), and the attenuation of a basic OFDM receiver for non-synchronized spectral components (interferences, adjacent channels) is limited. A straightforward approach to solve these issues is baseband filtering of the generated waveform on the transmitter side and digital channelization filtering before the FFT on the receiver side [38]. However, sharp filtering, with narrow transition band, increases the computational complexity significantly. More importantly, there is an increasing demand for spectrum agile waveform processing, in which case the post-/pre-filtering solutions would have high structural and computational complexity.

Fig. 8
figure 8

OFDM and FBMC/OQAM spectra for individual subcarriers (top) and for the transmitted signal (bottom). Effects of nonlinearities are not included. The FBMC prototype filter design is from [131] with overlapping factor 4

Spectrum agile waveform processing is needed in case of various co-existence scenarios, where the idea is to use effectively frequency slots between channels occupied by legacy radio communication systems, as illustrated in Fig. 9. This is one central theme in the cognitive radio context but also considered in various other developments of broadband wireless communications under concepts like carrier aggregation [3, 23, 41]. A very flexible way of approaching these goals can be named as non-contiguous multicarrier modulation, as a generalization of non-contiguous OFDM [140]. Here the idea is that the spectrum of the transmitted waveform can be controlled by activating only those subcarriers which are available and have been allocated for transmission, and modulating zero-symbols on the others. The approach is the same as the basic idea of OFDMA, but now the target is to be able to tolerate asynchronous waveforms in the unused frequency slots. Using basic OFDM in this way, the spectrum leakage would necessitate considerable guardbands between the active subcarriers and occupied frequency channels, and would thus lead to low spectrum efficiency.

Fig. 9
figure 9

Non-contiguous multicarrier transmission in spectrum gaps between primary users (PU’s)

In addition to post- or pre-filtering, a number of techniques have been presented in the literature for reducing the spectral leakage in CP-OFDM-based systems. One possibility is to use a tapered time-domain window for OFDM symbols [133], instead of rectangular windowing. Especially, raised cosine window in combination with extended CP has been widely considered. For effective spectrum leakage suppression, the CP has to be significantly extended to accommodate a higher roll-off of the RC-window, leading to reduced spectrum efficiency. Raised-cosine windowing can be used also on the receiver side for better rejection of interference leakage from the unused spectral slots, with similar tradeoffs. In [102], it is proposed to use the windowing in edge subcarriers only to improve spectrum efficiency. Other approaches include subcarrier weighting [26], cancellation carrier method [19, 140], and precoding [22].

Another approach for spectrally agile waveforms and signal processing is filter bank based multicarrier modulation (FBMC) [21, 37, 57, 103]. Here the idea is to use spectrally well-contained synthesis and analysis filter banks in the transmultiplexer configuration, instead of the IFFT and FFT, respectively. The most common approach is to use modulated uniform polyphase filter banks based on a prototype filter design, which determines the spectral containment characteristics of the system. Figure 8 shows an example of the resulting spectral characteristics, in comparison with basic OFDM without any additional measures for controlling the sidelobes. It can be seen that the FBMC is able to reduce the sidelobes to a level which depends in practice only on the spectral leakage (spectral regrowth) resulting from the transmitter power amplifier nonlinearities.

The two basic alternatives are filtered multitone modulation (FMT) [24, 127] and FBMC/OQAM (or OFDM/OQAM) [37, 110]. In FMT, the adjacent subchannels are isolated by designing them to have non-overlapping transition bands, and for each subcarrier basic subcarrier modulation, like QAM with Nyquist pulse shaping, can be used. The principle of FMT is just frequency division multiplexing/multiple access. It relies on specific uniform multirate filter bank structures, typically based on IFFT/FFT transforms complemented by polyphase filtering structures. To reach high spectral efficiency, narrow transition bands should be used, leading to increased implementation complexity of the filter bank.

In typical FBMC/OQAM designs (like the example case of Fig. 8), each subchannel overlaps with the adjacent ones, but not with the more distant ones, and orthogonality of subcarriers is achieved by using offset-QAM modulation of subcarriers, in a specific fashion [110]. Due to the absence of cyclic prefix and reduced guard-bands in frequency domain, FBMC/OQAM reaches somewhat higher spectral efficiency than CP-OFDM [99], but its main benefit can be found in scenarios benefiting from dynamic and non-contiguous (i.e., fragmented) spectrum allocation [108, 141]. Its main drawback is higher computational complexity, which in terms of real multiplication rate, is 3–5 times that of OFDM with the same transform size [12].

Both FMT and FBMC/OQAM systems can be designed to have similar number of subcarriers as an OFDM system, in which case the channel can usually be considered as flat-fading at subcarrier level, and one-tap complex subcarrier-wise equalizers are sufficient. However, there is also the possibility to increase the subcarrier spacing, e.g., in order to relax the ICI effects with high mobility, in which case multi-tap equalizers are needed [57]. A convenient approach for realizing multitap subcarrier equalizers is based on frequency sampling [62]. The special OQAM-type signal structure has to be taken into account when designing the pilot structures for channel estimation and synchronization [77], and it introduces also difficulties in adapting certain multiantenna schemes to the FBMC/OQAM context.

In summary, FBMC and enhanced OFDM schemes are alternative approaches for developing flexible spectrum agile waveforms with improved spectral containment, which is particularly important in fragmented spectrum use.

4 Transceiver RF System Fundamentals and I/Q Signal Processing

This section looks at radio transceiver fundamentals from a broader perspective, by considering also the essentials of analog radio frequency (RF) functionalities in addition to digital front-end and digital baseband aspects described in the previous sections. Overall, understanding the RF world is one central aspect in radio communications since the energy of the true electromagnetic waves radiated and absorbed by the antennas, and thus the spectral contents of the underlying electrical signals, are indeed located at radio frequencies. Depending on the actual radio system and radio application, the used RF band is typically within the range of few tens or hundreds of MHz up to several GHz.

In this section, we’ll go through the basics of transceiver signal processing from radio architecture perspective, with main focus on frequency translations and filtering tasks. The exact circuit-level treatments are out of our scope, and we focus on signal and RF-module level aspects only. One central tool in the presentation is the deployment of complex-valued I/Q signal and processing models, especially in the frequency translation and filtering tasks. In addition to RF front-end, the notion of complex-valued I/Q signals is central also in the digital front-end and baseband processing units as is evident from the presentation in the previous sections which all rely on complex-valued signals. Some classical literature in this field are, e.g., [29, 42, 45, 81, 83, 85]. Some sections in the following also build on the presentation of [123].

4.1 RF-System Fundamentals

The fundamental tasks of transmitter RF front-end are to upconvert the data-modulated communication waveform to the desired RF (carrier) frequency and produce the needed RF power to the transmit signal. How these are exactly organized and implemented in the full transmitter chain, depends on the chosen radio architecture. Independently of this, the transmitter performance is typically measured in terms of spectral purity or spectral mask which dictates how much energy the transmitter can leak outside its own frequency band. Such out of band emissions can stem, e.g., from transmit chain nonlinearities and/or insufficient filtering. Another important aspect is the in-band purity of the RF waveform which quantifies the waveform generation accuracy from the data modulation and transmission point of view. One typically deployed measure here is the error vector magnitude (EVM).

On the receiver side, the key tasks of the RF front-end are to amplify the weak received desired signal, downconvert the desired signal from RF down to lower frequencies, and to at least partially attenuate the undesired other radio signals picked up by the antenna. Again, the chosen radio architecture has a big influence on how these tasks are implemented in the receiver chain. In general, one can perhaps claim that the implementation challenges on receiver side are typically even bigger than on the transmitter side. This is indeed because the antenna is picking up also many other radio signals, in addition to the desired one, which can also be several tens of dBs stronger than the desired one. Thus being able to demodulate and detect a weak desired signal in the presence of strong neighboring channels is indeed a complicated task. The receiver front-end performance is typically measured, e.g., in terms of sensitivity, linearity and spurious free dynamic range. In short, sensitivity measures the ability to detect very weak signals in noise-limited scenarios. Linearity and spurious-free dynamic range, in turn, measure the relative levels of spurious components stemming from the intermodulation of the strong neighboring channels and out-of-band blocking signals, falling on top of the desired signal band. Measures like input-intercept point (IIP, specifically IIP2 and IIP3 for second-order and third-order nonlinearities, respectively) are typically used to measure receiver linearity.

4.2 Complex I/Q Signal Processing Fundamentals

4.2.1 Basic Definitions and Connection to Bandpass Signals

All physical signals and waveforms, like voltage or current as a function of time, are by definition real-valued. However, when modeling, analyzing and processing bandpass signals whose spectral content is located around some center-frequency f c , the use and notion of complex-valued signals turns out to be very useful. This has then direct applications in radio communications, like various complex modulation methods and more generally different frequency translations and filtering methods in transceiver analog and digital front-ends. This is where we have main emphasis on in this section. Furthermore, complex-valued signal and processing models are fundamental also in digital baseband processing, including e.g. modeling of radio channel impacts on the modulating data and the resulting equalization and detection processing in receiver baseband parts. Examples of this can be found from earlier sections. Useful general literature in this field are, e.g., [50, 81, 119, 123].

By definition, the time domain waveform x(t) of a complex signal is complex-valued, i.e.

$$\displaystyle{ x(t) = x_{\text{I}}(t) + jx_{\text{Q}}(t) = \mathfrak{R}\left [x(t)\right ] + j\mathfrak{I}\left [x(t)\right ] }$$
(25)

In practice, this is nothing more than a pair of two real-valued signals x I(t) and x Q(t) carrying the real and imaginary parts. Similarly, a complex linear system is defined as a system with complex-valued impulse response

$$\displaystyle{ h(t) = h_{\text{I}}(t) + jh_{\text{Q}}(t) = \mathfrak{R}\left [h(t)\right ] + j\mathfrak{I}\left [h(t)\right ] }$$
(26)

One of the beautiful properties of complex-valued models is that in frequency domain, there are no symmetry constraints opposed to real-valued signals/systems which are always forced to have even-symmetric amplitude spectrum/response and odd-symmetric phase spectrum/response with respect to the zero frequency in two-sided spectral analysis. In the following presentation, we focus mostly on continuous-time waveform and system aspects, but similar concept carry on to discrete-time world as well. Some additional digital filter specific aspects are also addressed in Sect. 4.3.2.

One basic operation related to complex quantities is complex-conjugation. Now if the spectrum (Fourier transform) of x(t) is denoted by X(f), then the spectrum of complex-conjugated signal x  ∗ (t) is X  ∗ ( − f). This implies that the amplitude spectra of x(t) and x  ∗ (t) are mirror images of each other. Notice that physically, complex conjugation is nothing more than changing the sign of the Q branch signal. This simple result related to conjugation has an immediate consequence that if one considers only the real part of x(t), i.e., \(y(t) = \mathfrak{R}\left [x(t)\right ] = (x(t) + {x}^{{\ast}}(t))/2\), its spectrum is Y (f) = (X(f) + X  ∗ ( − f)) ∕ 2. Now if X(f) and X  ∗ ( − f) are not overlapping, \(y(t) = \mathfrak{R}\left [x(t)\right ]\) contains all the information about x(t). Based on this, it directly follows that for any complex signal x(t) such that X(f) and X  ∗ ( − f) are not overlapping, \(y(t) = \mathfrak{R}\left [x(t)\right ]\) contains all the information about x(t).

The notion of complex signals has strong connection to bandpass signals. By definition, a general real-valued bandpass signal can be written as

$$\displaystyle\begin{array}{rcl} v_{\text{BP}}(t)& =& A(t)\cos \left (2\pi f_{\text{c}}t +\phi (t)\right ) = v_{\text{I}}(t)cos(2\pi f_{\text{c}}t) - v_{\text{Q}}(t)\sin \left (2\pi f_{\text{c}}t\right ) \\ & =& \mathfrak{R}\left [v_{\text{LP}}(t){e}^{j2\pi f_{\text{c}}t}\right ] = \frac{v_{\text{LP}}(t){e}^{j2\pi f_{\text{c}}t} + v_{\text{LP}}^{{\ast}}(t){e}^{-j2\pi f_{\text{c}}t}} {2} {}\end{array}$$
(27)

where \(v_{\text{LP}}(t) = v_{\text{I}}(t) + jv_{\text{Q}}(t) = A(t){e}^{j\phi (t)}\) is the corresponding lowpass or baseband equivalent signal, v I(t) and v Q(t) are the inphase (I) and quadrature (Q) components, and A(t) and ϕ(t) denote envelope and phase functions. Principal spectral characteristics are illustrated in Fig. 10. Thus in the general case, the baseband equivalent of a real-valued bandpass signal is complex-valued. Intuitively, the complex-valued baseband equivalent describes the oscillating physical bandpass signal with a time-varying phasor (complex number at any given time) such that the length of the phasor corresponds to physical envelope and the phase to the physical phase characteristics.

Fig. 10
figure 10

Illustration of bandpass signal structure in time- and frequency domains. Left half shows a principal bandpass signal spectrum and the corresponding time-domain waveform. Right half, in turn, shows the corresponding lowpass equivalent signal spectrum and the corresponding time-domain complex signal as a time-varying phasor in complex plane

Two basic operations related to processing of complex signals are (i) complex multiplication and (ii) complex convolution (filtering). In the general case, by simply following the complex arithmetic, these can be written as

$$\displaystyle\begin{array}{rcl} x(t) \times y(t)& =& \left (x_{\text{I}}(t) + jx_{\text{Q}}(t)\right ) \times \left (y_{\text{I}}(t) + jy_{\text{Q}}(t)\right ) \\ & =& x_{\text{I}}(t) \times y_{\text{I}}(t) - x_{\text{Q}}(t) \times y_{\text{Q}}(t) + j\left (x_{\text{I}}(t) \times y_{\text{Q}}(t) + x_{\text{Q}}(t) \times y_{\text{I}}(t)\right ){}\end{array}$$
(28)
$$\displaystyle\begin{array}{rcl} x(t) {\ast} h(t)& =& \left (x_{\text{I}}(t) + jx_{\text{Q}}(t)\right ) {\ast}\left (h_{\text{I}}(t) + jh_{\text{Q}}(t)\right ) \\ & =& x_{\text{I}}(t) {\ast} h_{\text{I}}(t) - x_{\text{Q}}(t) {\ast} h_{\text{Q}}(t) + j\left (x_{\text{I}}(t) {\ast} h_{\text{Q}}(t) + x_{\text{Q}}(t) {\ast} h_{\text{I}}(t)\right ){}\end{array}$$
(29)

Thus in general, 4 real multiplications (plus two additions) and 4 real convolutions (plus two additions) are needed, respectively, in the physical implementations. This is illustrated in Fig. 11 for general complex convolution. Obvious simplifications occur if either of the components (input signal or filter impulse response) is real valued.

Fig. 11
figure 11

Illustration of complex filtering (complex convolution) in terms of complex signals (upper) and parallel real signals (lower)

4.2.2 Analytic Signals and Hilbert Transforms

Hilbert transformer [50] is generally defined as an allpass linear filter which shifts the phase of its input signal by 90  ∘ . In the continuous-time case, the (anticausal) impulse and frequency responses can be formulated as

$$\displaystyle\begin{array}{rcl} h_{\text{HT}}(t)& =& \frac{1} {\pi t} {} \\ H_{\text{HT}}(t)& =& \left \{\begin{array}{@{}l@{\quad }l@{}} -j,\quad &f > 0\\ +j,\quad &f < 0\\ \quad \end{array} \right.{}\end{array}$$
(31)

Similar concepts carry on also to discrete-time filters [92].

In practice, the above behavior can be well approximated over any finite bandwidth. One fascinating property related to Hilbert filters/transformers is that they can be used to construct signals with only positive or negative frequency content. These kind of signals are generally termed analytic signals and they are always complex-valued. The simplest example is to take a cosine wave Acos(ω 0 t) whose Hilbert transform is Asin(ω 0 t). Then these together when interpreted as I and Q components of a complex signal result in \(A\cos (\omega _{0}t) + jA\sin (\omega _{0}t) = A{e}^{j\omega _{0}t}\) whose spectrum has an impulse at ω 0 (but not at − ω 0). The elimination of the negative (or positive) frequencies can more generally be formulated as follows. Starting from an arbitrary signal x(t) we form a complex signal x(t) + j x HT(t) where x HT(t) denotes the Hilbert transform of x(t). This is illustrated in Fig. 12. In practice a proper delay is needed in the upper branch to facilitate the delay of a practical HT. Then the spectrum of the complex signal is \(X(f) + jX_{\text{HT}}(f) = X(f)\left [1 + jH_{\text{HT}}(f)\right ]\) where 1 + j H HT(f) = 0 for f < 0. Based on this, it can easily be shown that the I and Q (real and imaginary parts) of any analytic signal are always related through Hilbert transform.

Fig. 12
figure 12

Illustration of creating analytic signal using a Hilbert transformer

4.3 Frequency Translations and Filtering

4.3.1 Frequency Translations for Signals

One key operation in radio signal processing is the shifting of a signal spectrum from one center-frequency to another. Conversions between baseband and bandpass representations and I/Q modulation and demodulation (synchronous detection) are special cases of this. The basis of all the frequency translations lies in multiplying a signal with a complex exponential, generally referred to as complex or I/Q mixing. This will indeed cause a pure frequency shift, i.e.,

$$\displaystyle{ y(t) = x(t){e}^{j\omega _{\text{LO}}t }\quad \Leftrightarrow \quad Y (f) = X(f - f_{\text{LO}}) }$$
(32)

where ⇔ denotes transforming between time and frequency domain. This forms the basis, e.g., for all the linear modulations, and more generally for all frequency translations. This is illustrated in frequency domain in Fig. 13 in the case where the input signal is at baseband. In general, since

$$ \displaystyle\begin{array}{rcl} x(t){e}^{j\omega _{\text{LO}}t }& =& x_{\text{I}}(t)\cos \left (\omega _{\text{LO}}t\right ) - x_{\text{Q}}(t)\sin \left (\omega _{\text{LO}}t\right ) \\ & & \qquad + j\left (x_{\text{Q}}(t)\cos \left (\omega _{\text{LO}}t\right ) + x_{\text{I}}(t)\sin \left (\omega _{\text{LO}}t\right )\right ),{}\end{array}$$
(33)

four real mixers and two adders are needed to implement a full complex mixer (full complex multiplication). This illustrated in Fig. 14. Notice again that in the special case of real-valued input signal, only two mixers are needed.

Fig. 13
figure 13

An example of pure frequency translation using complex mixing

Fig. 14
figure 14

Illustration of complex mixing (complex signal multiplication) in terms of complex signals (upper) and parallel real signals (lower)

Real mixing is obviously a special case of the previous complex one and results in two frequency translations:

$$ \displaystyle\begin{array}{rcl} y(t)& =& x(t)\cos \left (\omega _{\text{LO}}t\right ) \\ & =& x(t)\frac{1} {2}\left ({e}^{j\omega _{\text{LO}}} + {e}^{-j\omega _{\text{LO}}t }\right ) \Leftrightarrow Y (f) = \frac{1} {2}X\left (f - f_{\text{LO}}\right ) + \frac{1} {2}X\left (f + f_{\text{LO}}\right ){}\end{array}$$
(34)

Here, the original spectrum appears twice in the mixer output, the two replicas being separated by 2f LO in frequency. In receivers, this results in the so called image signal or mirror-frequency problem since the signals from both \(f_{\text{c}} + f_{\text{LO}}\) and \(f_{\text{c}} - f_{\text{LO}}\) will appear at f c after a real mixing stage. Thus if real mixing is used in the receiver, the image signal or mirror-frequency band needs to be attenuated before the actual mixer stage. This is the case, e.g., in the classical superheterodyne receiver. Similar effects have to be taken into consideration also in transmitters, meaning that the unwanted spectral replica produced by real mixing needs to be attenuated.

Linear I/Q modulation methods are basically just a special case of complex mixing. Given a complex message signal \(x(t) = x_{\text{I}}(t) + jx_{\text{Q}}(t)\), it is first complex-modulated as \(x(t){e}^{j\omega _{\text{c}}t}\), after which only the real part is actually transmitted. This can be written as

$$\displaystyle\begin{array}{rcl} y(t)& =& \mathfrak{R}\left [x(t){e}^{j\omega _{\text{C}}t }\right ] = x_{\text{I}}(t)\cos \left (\omega _{\text{c}}t\right ) - x_{\text{Q}}(t)\sin \left (\omega _{\text{c}}t\right ) \\ & =& \frac{1} {2}x(t){e}^{j\omega _{\text{C}}t } + \frac{1} {2}{x}^{{\ast}}(t){e}^{-j\omega _{\text{C}}t} {}\end{array}$$
(35)

While physical implementations build on the middle expression where x I(t) and x Q(t) are modulated onto two orthogonal (cosine and sine) carriers, the complex models are very handy e.g. from spectral analysis point of view. Notice that both terms or spectral components (at + f c and − f c) contain all the original information (i.e., x(t)). This overall process, also termed lowpass-to-bandpass transformation, is pictured at conceptual level in Fig. 15.

Fig. 15
figure 15

Principal structure of I/Q modulation using complex signal notations

On the receiver side, the goal in the demodulation phase is to recover the original message x(t) from the carrier-modulated signal y(t). Based on the previous discussion, it’s easy to understand that either of the signal components at + f c or − f c can be used for that purpose, while the other one should be rejected. Since

$$\displaystyle{ y(t){e}^{-j\omega _{\text{c}}t} = \left (\frac{1} {2}x(t){e}^{j\omega _{\text{c}}t} + \frac{1} {2}{x}^{{\ast}}(t){e}^{-j\omega _{\text{c}}t}\right ){e}^{-j\omega _{\text{c}}t} = \frac{1} {2}x(t) + \frac{1} {2}{x}^{{\ast}}(t){e}^{-j2\omega _{\text{c}}t} }$$
(36)

the message x(t) can be fully recovered by simply lowpass filtering the complex receiver mixer output. Practical implementation builds again on parallel real downconversion with cosine and sine followed by lowpass filtering in both branches. Formal block-diagram for the I/Q demodulator in terms of complex signals is presented in Fig. 16.

Fig. 16
figure 16

Principal structure of I/Q demodulation using complex signal notations

4.3.2 Frequency Translations for Linear Systems and Filters

The idea of frequency translations can be applied not only to signals but linear systems or filters as well [92]. Good example is bandpass filter design through proper modulation of lowpass prototype filter. In other words, assuming a digital filter with impulse response h(n), modulated filter coefficients are of the form \(h(n){e}^{j\omega _{0}n}\), \(h(n)\cos \left (\omega _{0}n\right )\), and/or \(h(n)sin\left (\omega _{0}n\right )\) which have frequency-shifted or modulated frequency responses compared to h(n). In general, such frequency translation principles apply to both analog and digital filters but our focus in the notations here is mostly on digital filters. Notice also that analytic bandpass filters of the form \(h(n){e}^{j\omega _{0}n}\) has direct connection to Hilbert transforms.

When it comes to digital filters, very interesting and low-complexity transforms are obtained when the modulating sequence is either \({e}^{j\pi n} =\{\ldots,+1,- 1,+1,-1,+1,-1,\ldots \}\) or \({e}^{j \frac{\pi } { 2} n} =\{\ldots,+1,+j,-1,-j,+1,+j,-1,-j,\ldots \}\) which correspond to frequency translation by f s ∕ 2 and f s ∕ 4, respectively. Implementation-wise, these are close to trivial mappings (only sign changes and proper changes between I and Q branch sequences) which means very efficient implementation. This applies of course also to digital downconversion and demodulation as well which is one reason why f s ∕ 4 is a popular choice for intermediate frequency (IF) in many advanced receivers. Notice also that in general, coefficient symmetry can be exploited in modulated filter implementation as long as the prototype filter h(n) is symmetric.

One additional key property is obtained from the transfer function interpretation of modulated complex filters. For \(H(z) =\sum _{ n=0}^{N}h(n){z}^{-n}\), we can write

$$\displaystyle{ \sum \limits _{n=0}^{N}\left (h(n){e}^{j\omega _{0}n}\right ){z}^{-n} =\sum \limits _{ n=0}^{N}h(n){\left ({z}^{-1}{e}^{j\omega _{0}}\right )}^{n} = H(z)\vert _{{ z}^{-1}\leftarrow {z}^{-1}{e}^{j\omega _{ 0}}} }$$
(37)

This means that the modulated filter can also be implemented by simply replacing the unit delays (z  − 1 elements) of the original filter with generalized elements \({z}^{-1}{e}^{j\omega _{0}}\). Thus implementing frequency translations is very straight-forward also for IIR type filters.

We illustrate the modulated FIR filter characteristics with a design example where analytic bandpass filter is obtained through complex modulation. Target is to have passband at \(0.6\pi \ldots 0.8\pi\) and the filter length is 50. Equiripple (Remez) design is used, and the lowpass prototype is an ordinary LPF with passband \(-0.1\pi \ldots 0.1\pi\). Then complex modulation with e j0. 7π n is deployed. The results are illustrated in Fig. 17.

Fig. 17
figure 17

An illustration of analytic bandpass filter generation through complex modulation of a lowpass prototype

After learning that we can generally build complex (analytic) bandpass filters, it’s also easy to devise an alternative strategy, other than the classical scheme with complex down-conversion and lowpass filtering, for I/Q demodulation. This is illustrated in Fig. 18, and uses the idea of filtering the signal first with complex bandpass filter after which complex downconversion takes place. Notice that in this scheme the complex bandpass filter creates already complex output signal and thus a true complex mixer is required (4 muls and 2 adds). This structure has, however, some benefits e.g. from analysis point of view, and it is also very suitable for digital I/Q demodulation combined with decimation/down-sampling since the complex filter output is free from negative frequencies.

Fig. 18
figure 18

An alternative structure for I/Q demodulation using complex bandpass filtering and complex downconversion

Additional good example of applying complex signal processing tools in radio transceivers is, e.g., a dual-carrier or dual-channel receiver in which the RF front-end implements wideband I/Q downconversion of the received signal such that the two interesting carriers are located at positive and negative (small) intermediate frequencies (IFs) after the analog front-end. The signal is then sampled and the two carriers are demodulated in parallel in the digital front-end to baseband for equalization and detection purposes. This is conceptually illustrated in Fig. 19. Now there are two possibilities how to implement the carrier separation and demodulation in the digital front-end: (i) complex digital bandpass filters centered at positive and negative IFs, respectively, followed by complex digital downconversions or (ii) complex digital downconversions from positive and negative IFs to baseband (in parallel) and real digital lowpass filtering for both signals. In practice, this is also accompanied with sample rate adaptation (decimation).

Fig. 19
figure 19

A principal spectral illustration of two-carrier low-IF receiver principle using wideband complex I/Q downconversion

4.4 Radio Architecture Basics

In general the term radio architecture refers to the communication circuit and module level arrangements in radio devices, and especially to how the elementary tasks like frequency translations, filtering and amplification are organized and sequenced in the radio chain. For presentation purposes we focus here on the receiver side, while many of the principles and observations are valid also on the transmitter side. There are also many transmitter-specific architectures, like polar transmitter and other envelope/phase oriented structures, which focus specifically on limiting the peak-to-average power ratio (PAPR) at the power amplifier input or improving the PA power efficiency.

Theoretically, on the receiver side, the desired frequency channel could be selected from the received radio frequency (RF) signal using a tunable and highly-selective bandpass filter. This is, however, not feasible in practice since the used RF bands are commonly in the GHz range while the interesting or desired signal is typically very narrowband compared to the center-frequency. Therefore, the received signal is downconverted to lower frequencies, either intermediate frequency (IF) or directly to baseband, where selectivity filtering and other processing can be implemented in a more feasible manner. Below we review how such frequency translations and filtering are implemented in the most typical receiver structures, namely superheterodyne, direct-conversion and low-IF type receivers. Useful general literature is this field are, e.g., [29, 80, 85]. We also shortly touch the subsampling aspects [27, 129] where controlled aliasing, instead of explicit mixing, is used for frequency translation. As in the whole communications signal processing field, the concept of complex-valued or I/Q signals plays an essential role also here in designing and understanding different receiver principles.

4.4.1 Superheterodyne Receiver

The previously-described real mixing approach is deployed in the traditional superheterodyne receiver. A tunable local oscillator is used to select the channel of interest which is translated to a fixed intermediate frequency using real mixing. At the IF stage, a highly selective bandpass filter is used to separate the desired channel signal from the others. Tunability in the local oscillator facilitates the use of a fixed intermediate frequency, thus enabling efficient implementation of the IF channel selection filter. Special analog filter technologies, such as surface acoustic wave (SAW), can be deployed in the implementation. After this, the signal is traditionally quadrature downconverted to baseband, possibly through an additional IF stage, and the baseband signal is finally A/D converted. Another more advanced alternative is to sample and digitize the signal directly at IF and carry out the final I/Q demodulation using DSP. The overall structure with baseband A/D conversions is illustrated in Fig. 20.

Fig. 20
figure 20

Principal structure of classical superheterodune radio receiver

As shortly discussed already earlier, a real mixer is equally sensitive to frequencies below and above the oscillator frequency. Thus for oscillator frequency f LO, any input signal component at some frequency f c will appear at both \(f_{\text{c}} - f_{\text{LO}}\) and \(f_{\text{c}} + f_{\text{LO}}\) at the mixer output. Thus in addition to the desired channel signal, also the so called image band signal will appear at the IF if not filtered away before the downconversion. For this purpose, superheterodyne receivers always use RF image rejection filtering. In general, the used LO frequencies can be either below (\(f_{\text{LO}} = f_{\text{c}} - f_{\text{IF}}\), lower side injection) or above (\(f_{\text{LO}} = f_{\text{c}} + f_{\text{IF}}\), upper side injection) the desired channel center-frequency. In any case, the frequency separation between the desired and image signals is always 2f LO. Thus in practice the image band is located at the distance 2f IF either below or above the desired channel, depending on the side of LO injection. The basic superheterodyne principle can also be extended to double-IF or triple-IF scenario where the signal is brought to baseband through many consecutive IFs, and selectivity is implemented step by step.

From the receiver design point of view, a proper compromise is required in selecting or specifying the intermediate frequency. On one hand, a high enough IF should be used since the desired and image bands are separated by 2f IF and the image rejection filtering is performed at RF. On the other hand, a low enough IF is needed to make the implementation of the IF channel selectivity filtering as feasible as possible. As an example, intermediate frequencies around 71 MHz (first) and 13 MHz (second) are traditionally used in superheterodyne based GSM receivers, whereas IFs around 10 MHz are typical in broadcast FM receivers.

4.4.2 Direct-Conversion Receiver

Due to the high number of discrete components and high power consumption, the above superheterodyne architecture is, however, not the most appropriate choice for highly integrated transceiver implementations in mass-market devices. Furthermore, the use of fixed discrete components in the RF front-end limits the receiver flexibility. Thus, architectures with more simplified analog front-ends with less RF processing are in general desirable.

A simple way to reduce the number of components in the receiver and alleviate the problem of receiver complexity is to avoid the use of intermediate frequency stage and use complex or quadrature downconversion of the desired channel signal from RF directly to baseband. Complete elimination of the IF stage results in highly simplified structure where most of the channel selectivity and amplification are implemented at baseband. In practice, depending on the performance of the A/D interface, the overall selectivity can be split properly between analog and digital filters. On one hand, since most of the signal processing tasks take place at low frequencies, the power consumption of the radio is minimized. On the other hand, very low noise operation is called for in all the remaining analog components since the amplification provided by the RF stage is only moderate. The basic block-diagram for RF I/Q downconversion based receivers is illustrated in Fig. 21.

Fig. 21
figure 21

Principal structure of direct-conversion radio receiver

In theory, the complex mixing approach corresponds to pure frequency translation and the image signal related problems present in real mixer are basically avoided. In practice, however, complex-valued processing always calls for two parallel signal branches (I and Q, e.g. two mixers and LO signals in case of real-valued input and complex mixer) whose characteristics are (unintentionally) likely to differ to some extent. This so-called I/Q imbalance problem has the net effect of reducing the image rejection capability to only \(20\ldots 40\) dB in practical analog I/Q front-ends, at least without digital calibration. In the pure direct-conversion radio, the image signal band is the desired signal itself (at negative center-frequency), and the I/Q imbalances cause self-image interference. Other practical implementation problems, stemming from direct RF-baseband downconversion, are LO leakage and DC offsets, or in general second order intermodulation (IM2), which create spurious signal energy and interference on top of the desired signal. We will discuss these aspects, together with other RF impairment issues, in more details in Sect. 4.6.

4.4.3 Low-IF Receiver

In the basic low-IF receiver, in order to reduce the effects of LO leakage and DC offsets, the desired signal is I/Q or quadrature downconverted to a low but non-zero IF. Thus the basic structure is similar to previous direct-conversion block-diagram but the complex I/Q signal after I/Q downconversion is located at low intermediate frequency. As an example, intermediate frequencies in the order of one or two channel bandwidths have been proposed and considered. Selectivity can be implemented with special complex analog bandpass filters, centered at low IF, or then with more wideband lowpass filter after which the final selectivity and downconversion from IF to baseband is carried out digitally after A/D interface. Notice that since the image signal in RF-IF downconversion comes now again from another channel/band with a (possibly) very high power level, the use of a non-zero IF reintroduces the image signal problem to big extent and the practical 20–40 dB image attenuation of analog I/Q downconversion can easily be insufficient.

In a “per-channel” downconverting low-IF receiver, the image signal originates from one of the nearby (adjacent) channels. Though the image problem is in this case partly alleviated by the system specifications, which usually limit the power difference of the nearby channels to \(10\ldots 25\) dB, the \(20\ldots 40\) dB attenuation provided by a practical analog front-end is clearly inadequate for most communication waveforms. In a multichannel scenario, which is especially interesting, e.g., on the base station side of cellular systems, several channels are downconverted as a whole and the image frequency band may carry a signal at the maximum allowed (blocking) signal level. Thus, for some of the channels, the image band signal can be up to \(50\ldots 100\) dB stronger than the desired signal, and the imbalanced analog front-end image attenuation is clearly insufficient. Obviously, to facilitate the use of these low-IF schemes in future high-performance highly-integrated receivers, novel digital techniques enhancing the analog front-end image rejection to an acceptable level are needed. Some example techniques are shortly cited in Sect. 4.6. Using the multichannel direct-conversion/low-IF scheme with demanding mobile communication system specifications is generally a very challenging idea. With a proper combination of advanced analog signal processing (like the complex analog Hilbert filtering type technique) and advanced DSP solutions, the required performance is still feasible.

4.4.4 RF/IF Subsampling Receiver

One interesting class of receivers builds on bandpass subsampling principle, in which the incoming radio (RF or IF) signal is deliberately sampled below the classical Nyquist rule. Stemming from the bandlimited nature of the radio signals, aliasing in the sense of creating new frequencies or “images” of the original signal at lower center-frequencies can actually be allowed, as long as the original modulating or information bearing signal remains undistorted. This is called subsampling and essentially means that aliasing is used in a controlled manner to bring the signal closer to baseband without explicit mixer techniques.

Starting from a real-valued incoming bandpass signal, the subsampling radio can be building on either (i) real or (ii) complex I/Q subsampling. In case of real subsampling, the signal is simply periodically sampled at a deliberate rate below the Nyquist rate and the output sequence is still a real bandpass signal but at a new lower center-frequency. Because of general bandpass radio waveform contains I and Q components, the resulting signal cannot be aliased directly to baseband but needs to be still in bandpass form. In case of complex I/Q subsampling, the idea is to sample the incoming real-valued bandpass signal in two parallel branches; one branch is directly the original input signal and the other branch is a 90  ∘  phase-shifted version which is obtained using a Hilbert transformer type filter discussed earlier in this Chapter. In such case, when the two parallel signals are viewed as a complex signal, the sampler input is free from negative frequencies and thus aliasing can be used more flexibly without the constraints of real subsampling. As an extreme example, if the input center-frequency is an integer multiple of the applied center-frequency, a direct bandpass-baseband conversion is obtained and the resulting two parallel sample streams are sampled I and Q components of the original bandpass signal.

One of the biggest practical limitations in deploying bandpass sampling, especially at RF frequencies in the GHz range, is related to practical imperfections of the sampling circuits. Especially the impact of uncertainties in the sampling instants, called sampling jitter, is generally increased when the center frequency is increased [9]. This is because the instantaneous rate of change of the time domain waveform is directly proportional to the center frequency. Different SNR degradation rules are available in the literature to quantify the impact of sampling jitter in bandpass sampling, see e.g. [9].

There are also recent advances in the concept called charge-domain sampling and its applications in radio devices. Interested reader is referred to [58, 88].

4.5 Transceiver Digital Front-End

The waveform generation block of Fig. 1 produces a digital sample sequence which corresponds to the discrete-time baseband version of the final RF signal to be transmitted. The up-conversion of the baseband signal to the RF carrier frequency can be done solely by the analog RF module, following D/A conversion of the generated waveform. As discussed above, the up-conversion can be done in multiple steps. Likewise, the received signal at the wanted RF channel is bandpass filtered and down-converted to baseband, traditionally within the RF system block. Eventually, a digital sample sequence corresponding to the coding & modulation block output (but affected by additive noise and interferences as well as various distortion effects) is fed to the demodulation & decoding block.

4.5.1 Traditional vs. Software Defined Radio Models

In basic single-mode transceiver solutions, the interpolation & upconversion and filtering, decimation & down-conversion blocks of Fig. 1 maybe absent or minimal, and DAC and ADC are working at a sampling rate which is at or close to the minimum required for the specific waveform processing. However, in many applications, and wireless mobile communication terminals in particular, the device needs to implement multiple radio systems (e.g., GSM, WCDMA, 3GPP LTE, 802.11 WLAN, Bluetooth, GPS), and a multi-radio platform is needed. Even though most of the current implementations still use different radio circuits for different systems (see Fig. 22a), there is increasing interest for a highly configurable radio platform able to implement different wireless system standards. The concept of DSP-intensive software defined radio (SDR) has emerged from this need [56, 86, 119, 123]. In such DSP intensive solutions, the roles of interpolation & upconversion and filtering, decimation & down-conversion modules is pronounced and they are intended to take over various functionalities traditionally implemented by the RF system blocks. In addition to multi-standard transceivers, multichannel transceiver, utilizing common analog sections and DSP techniques for combining/separating different frequency channels, is another motivation for DSP intensive solutions, especially on the base-station side. The spectrum agile radio concept, discussed in Sect. 3.2.2, inevitably leads to the same direction.

Fig. 22
figure 22

Alternative multi-radio approaches. (a) Traditional receiver structure. (b) Configurable receiver based on digital I/Q mixing and baseband decimation filtering. (c) Configurable receiver based on bandpass decimation filtering and frequency offset compensation at low sample rate

In such solutions, the DAC and ADC sampling rates are typically much higher than the symbol rate, and multirate signal processing is used to implement channelization filtering and up- and down-conversion functions. In the extreme case (so-called direct digital synthesis transmitter and RF sampling receiver), the RF system blocks would include only amplification and rudimentary filtering operations. Even though the needed technologies are not mature enough for full SDR implementations of wireless consumer devices, the development is gradually moving in that direction.

In a SDR receiver, the digital front-end includes adjustable channelization filtering and sampling rate reduction, jointly implemented through digital multirate filtering. Depending on the radio architecture, this may be implemented as a lowpass decimation filter if the wanted frequency channel is down-converted to baseband using analog or digital mixing stages (see Fig. 22b). Alternatively, a bandpass decimation structure may be used, which utilizes the aliasing effects in sampling rate reduction for frequency translation purposes (see Fig. 22c) [119]. This approach usually allows to down-convert the wanted frequency channel close to baseband, after which a fine-tuning mixing operation is usually needed for compensating the frequency offsets due to the limited granularity of this principle, together with the compensation of frequency offsets of the local oscillators of the transmission link.

In a DSP intensive transmitter or receiver, the ADC/DAC sampling rate is often high compared to the channel bandwidth, and a very effective channelization filtering solution is needed in order not to increase the implementation complexity of the overall solution significantly. Luckily, in a well-design multirate filtering solution, the complexity is proportional to the low sampling rate (filter input sampling rate in transmitter and output sampling rate in receiver) [28]. Multi-stage interpolation/decimation structures are commonly considered as they are often most effective in terms of multiplication and addition rates, as well as coefficient and data memory requirements [98]. Typically the first stages of a decimator and last stages of an interpolator have relaxed frequency response requirements, and multiplication-free solutions are available, like the cascaded ingrator-comb (CIC) structures [60, 104]. Considering the bandpass decimator based receiver structure of Fig. 22c, one quite flexible and efficient approach is to use lowpass/bandpass/highpass FIR or IIR half-band filters in cascade [54]. Filter bank based channelizers provide computationally effective solutions for multichannel transmitters and receivers [53].

A SDR is often expected to do the waveform processing for communication signals with a wide range of signal bandwidths and, therefore, the sampling rate conversion factor has to be adjustable. Furthermore, in different systems the sampling rates of modulation and demodulation blocks are seldom in a simple relation with each other. Yet it is often desirable to use a fixed ADC/DAC clock frequency for different waveforms to simplify clock synthesizer implementation or to facilitate simultaneously operating multiradio solutions. If different types of signals are to be transmitted or received at the same time, adjusting the sampling clock is not a possible solution. Even though sampling rate conversion with simple fractional factors is possible with basic multirate signal processing methods, techniques for arbitrary sampling rate conversion are very useful in the SDR context. For time-synchronization purposes, fractional delay filters are also useful. Both of these functions can be implemented using polynomial interpolation based on the Farrow structure [56, 83, 123].

In a SDR transmitter, the dual elements are needed. Digital interpolation filtering, in combination with I/Q mixing is used for increasing the sampling rate and frequency translation. Arbitrary sampling rate conversion may be needed also in this context.

The compensation of time and frequency synchronization offsets needs to be included in the receiver signal path, either as explicit functions as indicated above, or in waveform-specific way in combination with channel equalization, as discussed in Sect. 3.1 in the OFDM context. Additionally, waveform-specific time and frequency offset estimation functions are needed in the digital front-end, either explicitly or in a feedback loop configuration [83].

4.6 RF Imperfections and DSP

The term RF imperfection refers to the circuit implementation nonidealities and the resulting signal distortion in the central building blocks, like amplifiers, mixers, oscillators and data converters, used in radio transceivers [42, 122, 126]. These aspects have become more and more important in the recent years, stemming from the development and utilization of more and more complex (and thus sensitive) communication waveforms like multicarrier signal structures with high-order subcarrier modulation, in modern radio communications. Such wideband complex waveforms are much more sensitive to any signal distortion or interference, compared to earlier narrowband binary-modulated waveforms. The other reason for increased interest towards these issues is demands for transceiver flexibility which typically implies, e.g., less RF filtering and increased dynamic range on the RF modules especially on the receiver side. Also increasing miniaturization of the used electronics and underlying silicon processes, together with decreasing supply voltages and increasing center frequencies, all tend to make electronics more “dirty”.

Understanding and recognizing the above RF imperfection aspects are central in modern radio communications, both at circuit and system levels. Stemming from the increasing digital number crunching power of digital circuits, one interesting R&D field in radio communications is then to develop digital signal processing (DSP) methods and algorithms, perhaps specifically tailored for certain modulation and/or radio architecture, to suppress or mitigate the impact of these RF imperfections. Best known example of such methods is transmitter power amplifier linearization, through for example digital predistortion (DPD), which has been researched for several decades. But during the past ten years or so, also many other RF impairments, like mirror-frequency interference due to I/Q imbalances, oscillator phase noise, receiver small signal component nonlinearities, A/D interface nonlinearities, and sampling circuit imperfections, have also been studied. This section shortly addresses these aspects, at very coarse or introductory level, and gives some directions in the recent literature where interested readers can find more information on this theme.

4.6.1 I/Q Imbalance and Mirror-Frequency Interference

Due to finite tolerances of practical analog electronics, there’s always some imbalance or mismatch between the relative amplitudes and phases of the analog I and Q branches in transmitters and receiver. This is called I/Q mismatch. Commonly, mismatch levels around 1–5 % in amplitude and 1–5  ∘  in phase are stated feasible or realistic. This has the impact of creating mirror-frequency distortion or interference to the signal. With the previous mismatch levels, the mirror-frequency attenuation is in the order of \(40\ldots 25\) dB. In the very basic single-channel direct-conversion radio, the mirror-frequencies are the mirror-image of the signal itself (baseband signal spectrum flipped), and thus the problem is not extremely challenging since the strength of the mirror-frequencies is in the same order as the actual signal frequencies. In case of OFDM, for example, the impact is to create cross-talk between the mirror-symmetric subcarrier pairs.

In case of more general I/Q downconversion based receiver, e.g. I/Q downconversion of a collection of frequency channels or subbands as a whole, the mirror-frequencies of an individual channel or subband are coming from a different channel or subband, and can thus potentially have much more severe effects due to possibly higher power level at the mirror band. An extreme example could be an overall I/Q downconversion of, e.g., whole GSM 1800 MHz uplink band in a base-station device, where in principle the total dynamic range of the overall signal could be in the order of 50–100 dB. In such cases, the image rejection requirements from individual channel perspective are in the same order, and thus impossible to achieve without digital calibration.

The available literature in this field, in terms of digital I/Q calibration and imbalance compensation, is already fairly massive. To get an overview of different digital compensation and calibration methods, both data-aided and non-data-aided, and different radio architecture aspects, the reader is referred to [7, 8, 39, 114, 115, 124, 146].

4.6.2 Transmitter Nonlinearities

When emphasizing power-efficient operation, the power amplifier is always operating in a nonlinear region. This has the impact of creating intermodulation at the PA output. These intermodulation components are basically falling both on top of the ideal waveform bandwidth (inband effect, degrades EVM) as well as next to the ideal waveform bandwidth which is typically called spectral regrowth. Such spectral regrowth can potentially interfere with either other signals of the same radio system or even signals of other radio systems (or both), and is thus typically controlled in the radio system specifications through different emission masks.

Simple way to reduce the intermodulation is to backoff the amplifier input closer to the linear region. This, however, also directly reduce the efficiency and typically also the output power. In order have good balance between output power, efficiency and linearity, digital predistortion techniques can be deployed in which the digital transmit data is pre-processed such that when going through the nonlinear PA, the intermodulation levels are still within the target limits. Alternative method for PA linearization is, e.g. feedforward linearization in which the intermodulation of the core PA is explicitly estimated and subtracted properly from the final transmitter output.

The literature in this field is even more massive than in the previous sub-section, but some seminal works are e.g. [6, 10, 34, 67, 68, 72, 74, 79, 87, 142, 143].

4.6.3 Receiver and ADC Nonlinearities

Even though the typical signal levels on the receiver side are much smaller than on the transmitter side, also many receiver components are nonlinear. This applies, e.g., to low noise amplifier (LNA), mixers and also to A/D interface. The most challenging conditions are the cases when the desired signal is weak (close to sensitivity level) while the neighboring channels, or more far away blocking signals, are several tens of decibels stronger. Then depending on the receiver linearity, the neighboring channels and/or blocking signals create intermodulation on top of the weak desired signal. For the RF components, measures like input intercept point (IIP) are typically used to quantify this phenomenon. IIP2 and IIP3 measure second-order and third-order intermodulation behavior, respectively. It is also somewhat radio architecture specific whether the second-order or third-order intermodulation is the critical interference source. In plain direct-conversion receiver, the second-order effects are typically dominating while in IF-receivers it can be third-order intermodulation.

An interesting research direction is to devise receiver linearization signal processing. Such approach has not been studied very extensively but some seminal works are available, see e.g. [35, 53, 54, 100, 109, 125]. They can be broadly categorized to interference cancellation methods where intermodulation is suppressed explicitly from the weak desired signal band, either using analog or digital signal processing, and hybrid receiver or module calibration methods where e.g. the mixer bias conditions are tuned to optimize IP2 or IP3 using a feedback from downconverted signal.

In addition to actual RF components, also the A/D interface is inherently nonlinear creating spurious components. In radio signal context, especially with wideband multichannel A/D conversion, these spurious components result in intermodulation between the signal bands. A/D interface linearization, especially through offline calibration with e.g. lookup tables, has been also studied fairly widely, but recently also some online signal processing innovations for challenging radio applications have been reported [4].

4.6.4 Oscillator Phase Noise

Phase noise refers to random fluctuations of the instantaneous phase or frequency of the oscillator signals used in radio devices e.g. for frequency translations. Simple behavior modeling reveals that such phase noise appears as additional phase modulation in the time-domain waveform, or when viewed from complex baseband equivalent signal perspective, in multiplicative form as a complex exponential multiplier with the phase jitter in the exponent. This has the principal effect of broadening the signal spectrum.

From an individual waveform point of view, such additional time-domain phase modulation or spectral broadening depends heavily of the used communication waveform. For single-carrier signals, this is directly additional phase jitter in the constellation while in the multicarrier/OFDM case, the spectral broadening of individual subcarriers causes intercarrier interference (ICI) between the neighboring subcarriers.

In a wider scale, the spectral broadening causes the energy of an individual radio signal to leak on top of the neighboring channels. Again due to possibly different power levels of different signals or subbands, this can be potentially much bigger interference source, compared to above single-waveform impact, and typically dictates the oscillator design—especially from large frequency offsets perspective.

In the recent years, the issue of phase noise estimation and digital suppression has also started to raise some interest. Some seminal works in this field, mostly focusing to ICI estimation and suppression with OFDM signals, are e.g. [32, 94, 97, 113, 116, 136, 145].

4.6.5 Sampling Jitter

Sampling jitter refers to the instantaneous timing uncertainties in the sampling process and sample instants. This has typically big effect when the signal that is sampled has high rate of change, which is the case in IF and especially RF sampling, or high instantaneous envelope dynamics. With bandpass signals, the impact of timing jitter is basically similar to phase noise, meaning that it is seen as additional random phase modulation in the sampled sequence. How the power of the interference or distortion due to jitter is distributed in the frequency domain, depends heavily on the correlation properties of the jitter process itself. Some elementary receiver system calculations typically assume white jitter and thereon white jitter noise, but if the jitter process has more correlation between consecutive sample instants, the induced noise has also more structure. In the literature, some works exists where this phenomenon is utilized, the reader is directed e.g. to [101, 112] and the references therein.

5 Concluding Remarks

This chapter has focused on the algorithms for baseband processing and digital front end of wireless communication systems. The field is rapidly developing and the timely topics of R&D activities include technologies for dynamic spectrum access and cognitive radio, aiming at more effective use of the radio spectrum, as well as cooperative communication and mesh networking, targeting at ubiquitous wireless networking with massive use of relatively cheap and low-power devices. The concept of Internet of Things provides a general framework for these developments.

On the other hand, the practical implementation of the algorithms, derived from communication theoretic viewpoint, requires another round of optimization exploring the tradoffs between algorithmic simplifications and implementation related cost criteria (complexity, energy consumption, etc.). This optimization depends greatly on the target hardware architecture, which could be based on dedicated VLSI, processors, or FPGAs.